move post-pnr to new subdirectory
[soc-cocotb-sim.git] / ls180 / vbe2vst.py
diff --git a/ls180/vbe2vst.py b/ls180/vbe2vst.py
deleted file mode 100755 (executable)
index 075538c..0000000
+++ /dev/null
@@ -1,30 +0,0 @@
-#!/usr/bin/env python3
-"""converts NIOLIB and NSXLIB from VBE into VHDL
-"""
-
-import os
-import sys
-
-# use the chroot to set up
-# https://git.libre-soc.org/?p=dev-env-setup.git;a=blob;f=coriolis2-chroot;hb=HEAD
-# reason for using the chroot: it's standardised across the ls180 project
-
-VASY_CMD = "schroot -c coriolis -d /tmp -- ~/alliance/install/bin/vasy"
-ALLIANCEBASE = "../alliance-check-toolkit/cells"
-ALLIANCE_LIBS = ['nsxlib', 'niolib']
-
-for libname in ALLIANCE_LIBS:
-
-    NSXLIB = "%s/%s" % (ALLIANCEBASE, libname)
-
-    os.system("mkdir -p %s" % libname)
-
-    for fname in os.listdir(NSXLIB):
-        if not fname.endswith(".vbe"):
-            continue
-        print (fname)
-        prefix = fname[:-4] # strip ".vbe"
-        os.system("cp %s/%s /tmp" % (NSXLIB, fname))
-        os.system("rm -f /tmp/%s.vhd" % (prefix))
-        os.system("%s -s -I vbe %s %s" % (VASY_CMD, fname, prefix))
-        os.system("cp /tmp/%s.vhd %s" % (prefix, libname))