Merge pull request #34 from ss2783/master
[sifive-blocks.git] / src / main / scala / util / ShiftReg.scala
diff --git a/src/main/scala/util/ShiftReg.scala b/src/main/scala/util/ShiftReg.scala
deleted file mode 100644 (file)
index 53bb29e..0000000
+++ /dev/null
@@ -1,11 +0,0 @@
-// See LICENSE for license details.
-package sifive.blocks.util
-
-import Chisel._
-
-object ShiftRegisterInit {
-  def apply[T <: Data](in: T, n: Int, init: T): T =
-    (0 until n).foldLeft(in) {
-      case (next, _) => Reg(next, next = next, init = init)
-    }
-}