Assign the one-clock delay operation from ADD to SHR
authorCesar Strauss <cestrauss@gmail.com>
Sun, 7 Jun 2020 20:47:10 +0000 (17:47 -0300)
committerCesar Strauss <cestrauss@gmail.com>
Sun, 7 Jun 2020 20:50:58 +0000 (17:50 -0300)
commit08e0b15e025be49376241fd5c3e623bc063693c4
tree246879c48bd80d94f49fdc3ba32e95bf9012f21c
parentfdfae51172ce5a0e86db958d8ea017dd2ca1e500
Assign the one-clock delay operation from ADD to SHR

This keeps the ADD delay as it was, originally.
src/soc/experiment/alu_hier.py