Add formal proof for FLT and FLE for FPCMP
authorMichael Nolan <mtnolan2640@gmail.com>
Sun, 2 Feb 2020 17:31:17 +0000 (12:31 -0500)
committerMichael Nolan <mtnolan2640@gmail.com>
Sun, 2 Feb 2020 17:31:17 +0000 (12:31 -0500)
commitf1d967353c0a6ebe1cf2dc2c379cf6a9430c7d82
tree8a23d2d617c73ca75cf9220c7b11ec9cede33b4b
parent1f02b554efe2b5207e5e49fd76b96480faba2e8a
Add formal proof for FLT and FLE for FPCMP
src/ieee754/fpcmp/formal/proof_fpcmp_mod.py