projects
/
pinmux.git
/ commitdiff
commit
grep
author
committer
pickaxe
?
search:
re
summary
|
shortlog
|
log
|
commit
| commitdiff |
tree
raw
|
patch
|
inline
| side by side (parent:
786e681
)
fixed typo and renamed interface
author
Neel
<neelgala@gmail.com>
Sat, 21 Jul 2018 11:29:49 +0000
(16:59 +0530)
committer
Neel
<neelgala@gmail.com>
Sat, 21 Jul 2018 11:29:49 +0000
(16:59 +0530)
src/bsv/bsv_lib/sdcard_dummy.bsv
patch
|
blob
|
history
diff --git
a/src/bsv/bsv_lib/sdcard_dummy.bsv
b/src/bsv/bsv_lib/sdcard_dummy.bsv
index 35b46dfee4a987a902898f6debcf39b4def7b07d..bc12be410975881f644a12c48166be5375dbe692 100644
(file)
--- a/
src/bsv/bsv_lib/sdcard_dummy.bsv
+++ b/
src/bsv/bsv_lib/sdcard_dummy.bsv
@@
-37,7
+37,7
@@
package sdcard_dummy;
import AXI4_Lite_Types::*;
interface Ifc_sdcard_dummy;
import AXI4_Lite_Types::*;
interface Ifc_sdcard_dummy;
- interface AXI4_Lite_Slave_IFC#(`ADDR, `DATA, `USERSPACE)
axi_
slave;
+ interface AXI4_Lite_Slave_IFC#(`ADDR, `DATA, `USERSPACE) slave;
method Bit#(1) cmd;
method Bit#(1) clk;
method Bit#(1) d0_out;
method Bit#(1) cmd;
method Bit#(1) clk;
method Bit#(1) d0_out;
@@
-56,7
+56,6
@@
package sdcard_dummy;
(*synthesize*)
module mksdcard_dummy(Ifc_sdcard_dummy);
AXI4_Lite_Slave_Xactor_IFC#(`ADDR,`DATA, `USERSPACE) s_xactor<-mkAXI4_Lite_Slave_Xactor();
(*synthesize*)
module mksdcard_dummy(Ifc_sdcard_dummy);
AXI4_Lite_Slave_Xactor_IFC#(`ADDR,`DATA, `USERSPACE) s_xactor<-mkAXI4_Lite_Slave_Xactor();
- interface axi_slave=s_xactor.axi_side;
Reg#(Bit#(1)) rg_cmd <- mkReg(0);
Reg#(Bit#(1)) rg_clk <- mkReg(0);
Reg#(Bit#(1)) rg_d0_out <- mkReg(0);
Reg#(Bit#(1)) rg_cmd <- mkReg(0);
Reg#(Bit#(1)) rg_clk <- mkReg(0);
Reg#(Bit#(1)) rg_d0_out <- mkReg(0);
@@
-93,5
+92,6
@@
package sdcard_dummy;
method Action d3_in(Bit#(1) in);
rg_d3_in<= in;
endmethod
method Action d3_in(Bit#(1) in);
rg_d3_in<= in;
endmethod
+ interface slave=s_xactor.axi_side;
endmodule
endpackage
endmodule
endpackage