(* enum_value_00 = "NONE" *)
(* enum_value_01 = "P1" *)
(* enum_value_10 = "P2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] ALU_dec19_SV_Ptype;
reg [1:0] ALU_dec19_SV_Ptype;
(* enum_base_type = "CRInSel" *)
(* enum_value_101 = "BC" *)
(* enum_value_110 = "WHOLE_REG" *)
(* enum_value_111 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] ALU_dec19_cr_in;
reg [2:0] ALU_dec19_cr_in;
(* enum_base_type = "CROutSel" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] ALU_dec19_cr_out;
reg [2:0] ALU_dec19_cr_out;
(* enum_base_type = "CryIn" *)
(* enum_value_00 = "ZERO" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "CA" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] ALU_dec19_cry_in;
reg [1:0] ALU_dec19_cry_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output ALU_dec19_cry_out;
reg ALU_dec19_cry_out;
(* enum_base_type = "Function" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [14:0] ALU_dec19_function_unit;
reg [14:0] ALU_dec19_function_unit;
(* enum_base_type = "In1Sel" *)
(* enum_value_100 = "RS" *)
(* enum_value_101 = "FRA" *)
(* enum_value_110 = "FRS" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] ALU_dec19_in1_sel;
reg [2:0] ALU_dec19_in1_sel;
(* enum_base_type = "In2Sel" *)
(* enum_value_1100 = "SPR" *)
(* enum_value_1101 = "RS" *)
(* enum_value_1110 = "FRB" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [3:0] ALU_dec19_in2_sel;
reg [3:0] ALU_dec19_in2_sel;
(* enum_base_type = "MicrOp" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [6:0] ALU_dec19_internal_op;
reg [6:0] ALU_dec19_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output ALU_dec19_inv_a;
reg ALU_dec19_inv_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output ALU_dec19_inv_out;
reg ALU_dec19_inv_out;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output ALU_dec19_is_32b;
reg ALU_dec19_is_32b;
(* enum_base_type = "LdstLen" *)
(* enum_value_0010 = "is2B" *)
(* enum_value_0100 = "is4B" *)
(* enum_value_1000 = "is8B" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [3:0] ALU_dec19_ldst_len;
reg [3:0] ALU_dec19_ldst_len;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] ALU_dec19_rc_sel;
reg [1:0] ALU_dec19_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output ALU_dec19_sgn;
reg ALU_dec19_sgn;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
input [31:0] opcode_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
wire [9:0] opcode_switch;
always @* begin
if (\initial ) begin end
ALU_dec19_function_unit = 15'h0000;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h096:
ALU_dec19_function_unit = 15'h0002;
endcase
always @* begin
if (\initial ) begin end
ALU_dec19_cry_in = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h096:
ALU_dec19_cry_in = 2'h0;
endcase
always @* begin
if (\initial ) begin end
ALU_dec19_inv_a = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h096:
ALU_dec19_inv_a = 1'h0;
endcase
always @* begin
if (\initial ) begin end
ALU_dec19_inv_out = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h096:
ALU_dec19_inv_out = 1'h0;
endcase
always @* begin
if (\initial ) begin end
ALU_dec19_cry_out = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h096:
ALU_dec19_cry_out = 1'h0;
endcase
always @* begin
if (\initial ) begin end
ALU_dec19_is_32b = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h096:
ALU_dec19_is_32b = 1'h0;
endcase
always @* begin
if (\initial ) begin end
ALU_dec19_sgn = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h096:
ALU_dec19_sgn = 1'h0;
endcase
always @* begin
if (\initial ) begin end
ALU_dec19_internal_op = 7'h00;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h096:
ALU_dec19_internal_op = 7'h24;
endcase
always @* begin
if (\initial ) begin end
ALU_dec19_SV_Ptype = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h096:
ALU_dec19_SV_Ptype = 2'h0;
endcase
always @* begin
if (\initial ) begin end
ALU_dec19_in1_sel = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h096:
ALU_dec19_in1_sel = 3'h0;
endcase
always @* begin
if (\initial ) begin end
ALU_dec19_in2_sel = 4'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h096:
ALU_dec19_in2_sel = 4'h0;
endcase
always @* begin
if (\initial ) begin end
ALU_dec19_cr_in = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h096:
ALU_dec19_cr_in = 3'h0;
endcase
always @* begin
if (\initial ) begin end
ALU_dec19_cr_out = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h096:
ALU_dec19_cr_out = 3'h0;
endcase
always @* begin
if (\initial ) begin end
ALU_dec19_ldst_len = 4'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h096:
ALU_dec19_ldst_len = 4'h0;
endcase
always @* begin
if (\initial ) begin end
ALU_dec19_rc_sel = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h096:
ALU_dec19_rc_sel = 2'h0;
endcase
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "P1" *)
(* enum_value_10 = "P2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] ALU_dec31_SV_Ptype;
reg [1:0] ALU_dec31_SV_Ptype;
(* enum_base_type = "CRInSel" *)
(* enum_value_101 = "BC" *)
(* enum_value_110 = "WHOLE_REG" *)
(* enum_value_111 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] ALU_dec31_cr_in;
reg [2:0] ALU_dec31_cr_in;
(* enum_base_type = "CROutSel" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] ALU_dec31_cr_out;
reg [2:0] ALU_dec31_cr_out;
(* enum_base_type = "CryIn" *)
(* enum_value_00 = "ZERO" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "CA" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] ALU_dec31_cry_in;
reg [1:0] ALU_dec31_cry_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output ALU_dec31_cry_out;
reg ALU_dec31_cry_out;
(* enum_base_type = "SVPtype" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "P1" *)
(* enum_value_10 = "P2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] ALU_dec31_dec_sub0_ALU_dec31_dec_sub0_SV_Ptype;
(* enum_base_type = "CRInSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_101 = "BC" *)
(* enum_value_110 = "WHOLE_REG" *)
(* enum_value_111 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] ALU_dec31_dec_sub0_ALU_dec31_dec_sub0_cr_in;
(* enum_base_type = "CROutSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] ALU_dec31_dec_sub0_ALU_dec31_dec_sub0_cr_out;
(* enum_base_type = "CryIn" *)
(* enum_value_00 = "ZERO" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "CA" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] ALU_dec31_dec_sub0_ALU_dec31_dec_sub0_cry_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire ALU_dec31_dec_sub0_ALU_dec31_dec_sub0_cry_out;
(* enum_base_type = "Function" *)
(* enum_value_000000000000000 = "NONE" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [14:0] ALU_dec31_dec_sub0_ALU_dec31_dec_sub0_function_unit;
(* enum_base_type = "In1Sel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_100 = "RS" *)
(* enum_value_101 = "FRA" *)
(* enum_value_110 = "FRS" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] ALU_dec31_dec_sub0_ALU_dec31_dec_sub0_in1_sel;
(* enum_base_type = "In2Sel" *)
(* enum_value_0000 = "NONE" *)
(* enum_value_1100 = "SPR" *)
(* enum_value_1101 = "RS" *)
(* enum_value_1110 = "FRB" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [3:0] ALU_dec31_dec_sub0_ALU_dec31_dec_sub0_in2_sel;
(* enum_base_type = "MicrOp" *)
(* enum_value_0000000 = "OP_ILLEGAL" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [6:0] ALU_dec31_dec_sub0_ALU_dec31_dec_sub0_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire ALU_dec31_dec_sub0_ALU_dec31_dec_sub0_inv_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire ALU_dec31_dec_sub0_ALU_dec31_dec_sub0_inv_out;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire ALU_dec31_dec_sub0_ALU_dec31_dec_sub0_is_32b;
(* enum_base_type = "LdstLen" *)
(* enum_value_0000 = "NONE" *)
(* enum_value_0010 = "is2B" *)
(* enum_value_0100 = "is4B" *)
(* enum_value_1000 = "is8B" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [3:0] ALU_dec31_dec_sub0_ALU_dec31_dec_sub0_ldst_len;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] ALU_dec31_dec_sub0_ALU_dec31_dec_sub0_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire ALU_dec31_dec_sub0_ALU_dec31_dec_sub0_sgn;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
wire [31:0] ALU_dec31_dec_sub0_opcode_in;
(* enum_base_type = "SVPtype" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "P1" *)
(* enum_value_10 = "P2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] ALU_dec31_dec_sub10_ALU_dec31_dec_sub10_SV_Ptype;
(* enum_base_type = "CRInSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_101 = "BC" *)
(* enum_value_110 = "WHOLE_REG" *)
(* enum_value_111 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] ALU_dec31_dec_sub10_ALU_dec31_dec_sub10_cr_in;
(* enum_base_type = "CROutSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] ALU_dec31_dec_sub10_ALU_dec31_dec_sub10_cr_out;
(* enum_base_type = "CryIn" *)
(* enum_value_00 = "ZERO" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "CA" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] ALU_dec31_dec_sub10_ALU_dec31_dec_sub10_cry_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire ALU_dec31_dec_sub10_ALU_dec31_dec_sub10_cry_out;
(* enum_base_type = "Function" *)
(* enum_value_000000000000000 = "NONE" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [14:0] ALU_dec31_dec_sub10_ALU_dec31_dec_sub10_function_unit;
(* enum_base_type = "In1Sel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_100 = "RS" *)
(* enum_value_101 = "FRA" *)
(* enum_value_110 = "FRS" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] ALU_dec31_dec_sub10_ALU_dec31_dec_sub10_in1_sel;
(* enum_base_type = "In2Sel" *)
(* enum_value_0000 = "NONE" *)
(* enum_value_1100 = "SPR" *)
(* enum_value_1101 = "RS" *)
(* enum_value_1110 = "FRB" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [3:0] ALU_dec31_dec_sub10_ALU_dec31_dec_sub10_in2_sel;
(* enum_base_type = "MicrOp" *)
(* enum_value_0000000 = "OP_ILLEGAL" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [6:0] ALU_dec31_dec_sub10_ALU_dec31_dec_sub10_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire ALU_dec31_dec_sub10_ALU_dec31_dec_sub10_inv_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire ALU_dec31_dec_sub10_ALU_dec31_dec_sub10_inv_out;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire ALU_dec31_dec_sub10_ALU_dec31_dec_sub10_is_32b;
(* enum_base_type = "LdstLen" *)
(* enum_value_0000 = "NONE" *)
(* enum_value_0010 = "is2B" *)
(* enum_value_0100 = "is4B" *)
(* enum_value_1000 = "is8B" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [3:0] ALU_dec31_dec_sub10_ALU_dec31_dec_sub10_ldst_len;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] ALU_dec31_dec_sub10_ALU_dec31_dec_sub10_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire ALU_dec31_dec_sub10_ALU_dec31_dec_sub10_sgn;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
wire [31:0] ALU_dec31_dec_sub10_opcode_in;
(* enum_base_type = "SVPtype" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "P1" *)
(* enum_value_10 = "P2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] ALU_dec31_dec_sub22_ALU_dec31_dec_sub22_SV_Ptype;
(* enum_base_type = "CRInSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_101 = "BC" *)
(* enum_value_110 = "WHOLE_REG" *)
(* enum_value_111 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] ALU_dec31_dec_sub22_ALU_dec31_dec_sub22_cr_in;
(* enum_base_type = "CROutSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] ALU_dec31_dec_sub22_ALU_dec31_dec_sub22_cr_out;
(* enum_base_type = "CryIn" *)
(* enum_value_00 = "ZERO" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "CA" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] ALU_dec31_dec_sub22_ALU_dec31_dec_sub22_cry_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire ALU_dec31_dec_sub22_ALU_dec31_dec_sub22_cry_out;
(* enum_base_type = "Function" *)
(* enum_value_000000000000000 = "NONE" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [14:0] ALU_dec31_dec_sub22_ALU_dec31_dec_sub22_function_unit;
(* enum_base_type = "In1Sel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_100 = "RS" *)
(* enum_value_101 = "FRA" *)
(* enum_value_110 = "FRS" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] ALU_dec31_dec_sub22_ALU_dec31_dec_sub22_in1_sel;
(* enum_base_type = "In2Sel" *)
(* enum_value_0000 = "NONE" *)
(* enum_value_1100 = "SPR" *)
(* enum_value_1101 = "RS" *)
(* enum_value_1110 = "FRB" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [3:0] ALU_dec31_dec_sub22_ALU_dec31_dec_sub22_in2_sel;
(* enum_base_type = "MicrOp" *)
(* enum_value_0000000 = "OP_ILLEGAL" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [6:0] ALU_dec31_dec_sub22_ALU_dec31_dec_sub22_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire ALU_dec31_dec_sub22_ALU_dec31_dec_sub22_inv_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire ALU_dec31_dec_sub22_ALU_dec31_dec_sub22_inv_out;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire ALU_dec31_dec_sub22_ALU_dec31_dec_sub22_is_32b;
(* enum_base_type = "LdstLen" *)
(* enum_value_0000 = "NONE" *)
(* enum_value_0010 = "is2B" *)
(* enum_value_0100 = "is4B" *)
(* enum_value_1000 = "is8B" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [3:0] ALU_dec31_dec_sub22_ALU_dec31_dec_sub22_ldst_len;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] ALU_dec31_dec_sub22_ALU_dec31_dec_sub22_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire ALU_dec31_dec_sub22_ALU_dec31_dec_sub22_sgn;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
wire [31:0] ALU_dec31_dec_sub22_opcode_in;
(* enum_base_type = "SVPtype" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "P1" *)
(* enum_value_10 = "P2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] ALU_dec31_dec_sub26_ALU_dec31_dec_sub26_SV_Ptype;
(* enum_base_type = "CRInSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_101 = "BC" *)
(* enum_value_110 = "WHOLE_REG" *)
(* enum_value_111 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] ALU_dec31_dec_sub26_ALU_dec31_dec_sub26_cr_in;
(* enum_base_type = "CROutSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] ALU_dec31_dec_sub26_ALU_dec31_dec_sub26_cr_out;
(* enum_base_type = "CryIn" *)
(* enum_value_00 = "ZERO" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "CA" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] ALU_dec31_dec_sub26_ALU_dec31_dec_sub26_cry_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire ALU_dec31_dec_sub26_ALU_dec31_dec_sub26_cry_out;
(* enum_base_type = "Function" *)
(* enum_value_000000000000000 = "NONE" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [14:0] ALU_dec31_dec_sub26_ALU_dec31_dec_sub26_function_unit;
(* enum_base_type = "In1Sel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_100 = "RS" *)
(* enum_value_101 = "FRA" *)
(* enum_value_110 = "FRS" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] ALU_dec31_dec_sub26_ALU_dec31_dec_sub26_in1_sel;
(* enum_base_type = "In2Sel" *)
(* enum_value_0000 = "NONE" *)
(* enum_value_1100 = "SPR" *)
(* enum_value_1101 = "RS" *)
(* enum_value_1110 = "FRB" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [3:0] ALU_dec31_dec_sub26_ALU_dec31_dec_sub26_in2_sel;
(* enum_base_type = "MicrOp" *)
(* enum_value_0000000 = "OP_ILLEGAL" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [6:0] ALU_dec31_dec_sub26_ALU_dec31_dec_sub26_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire ALU_dec31_dec_sub26_ALU_dec31_dec_sub26_inv_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire ALU_dec31_dec_sub26_ALU_dec31_dec_sub26_inv_out;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire ALU_dec31_dec_sub26_ALU_dec31_dec_sub26_is_32b;
(* enum_base_type = "LdstLen" *)
(* enum_value_0000 = "NONE" *)
(* enum_value_0010 = "is2B" *)
(* enum_value_0100 = "is4B" *)
(* enum_value_1000 = "is8B" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [3:0] ALU_dec31_dec_sub26_ALU_dec31_dec_sub26_ldst_len;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] ALU_dec31_dec_sub26_ALU_dec31_dec_sub26_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire ALU_dec31_dec_sub26_ALU_dec31_dec_sub26_sgn;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
wire [31:0] ALU_dec31_dec_sub26_opcode_in;
(* enum_base_type = "SVPtype" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "P1" *)
(* enum_value_10 = "P2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] ALU_dec31_dec_sub8_ALU_dec31_dec_sub8_SV_Ptype;
(* enum_base_type = "CRInSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_101 = "BC" *)
(* enum_value_110 = "WHOLE_REG" *)
(* enum_value_111 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] ALU_dec31_dec_sub8_ALU_dec31_dec_sub8_cr_in;
(* enum_base_type = "CROutSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] ALU_dec31_dec_sub8_ALU_dec31_dec_sub8_cr_out;
(* enum_base_type = "CryIn" *)
(* enum_value_00 = "ZERO" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "CA" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] ALU_dec31_dec_sub8_ALU_dec31_dec_sub8_cry_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire ALU_dec31_dec_sub8_ALU_dec31_dec_sub8_cry_out;
(* enum_base_type = "Function" *)
(* enum_value_000000000000000 = "NONE" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [14:0] ALU_dec31_dec_sub8_ALU_dec31_dec_sub8_function_unit;
(* enum_base_type = "In1Sel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_100 = "RS" *)
(* enum_value_101 = "FRA" *)
(* enum_value_110 = "FRS" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] ALU_dec31_dec_sub8_ALU_dec31_dec_sub8_in1_sel;
(* enum_base_type = "In2Sel" *)
(* enum_value_0000 = "NONE" *)
(* enum_value_1100 = "SPR" *)
(* enum_value_1101 = "RS" *)
(* enum_value_1110 = "FRB" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [3:0] ALU_dec31_dec_sub8_ALU_dec31_dec_sub8_in2_sel;
(* enum_base_type = "MicrOp" *)
(* enum_value_0000000 = "OP_ILLEGAL" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [6:0] ALU_dec31_dec_sub8_ALU_dec31_dec_sub8_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire ALU_dec31_dec_sub8_ALU_dec31_dec_sub8_inv_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire ALU_dec31_dec_sub8_ALU_dec31_dec_sub8_inv_out;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire ALU_dec31_dec_sub8_ALU_dec31_dec_sub8_is_32b;
(* enum_base_type = "LdstLen" *)
(* enum_value_0000 = "NONE" *)
(* enum_value_0010 = "is2B" *)
(* enum_value_0100 = "is4B" *)
(* enum_value_1000 = "is8B" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [3:0] ALU_dec31_dec_sub8_ALU_dec31_dec_sub8_ldst_len;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] ALU_dec31_dec_sub8_ALU_dec31_dec_sub8_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire ALU_dec31_dec_sub8_ALU_dec31_dec_sub8_sgn;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
wire [31:0] ALU_dec31_dec_sub8_opcode_in;
(* enum_base_type = "Function" *)
(* enum_value_000000000000000 = "NONE" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [14:0] ALU_dec31_function_unit;
reg [14:0] ALU_dec31_function_unit;
(* enum_base_type = "In1Sel" *)
(* enum_value_100 = "RS" *)
(* enum_value_101 = "FRA" *)
(* enum_value_110 = "FRS" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] ALU_dec31_in1_sel;
reg [2:0] ALU_dec31_in1_sel;
(* enum_base_type = "In2Sel" *)
(* enum_value_1100 = "SPR" *)
(* enum_value_1101 = "RS" *)
(* enum_value_1110 = "FRB" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [3:0] ALU_dec31_in2_sel;
reg [3:0] ALU_dec31_in2_sel;
(* enum_base_type = "MicrOp" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [6:0] ALU_dec31_internal_op;
reg [6:0] ALU_dec31_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output ALU_dec31_inv_a;
reg ALU_dec31_inv_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output ALU_dec31_inv_out;
reg ALU_dec31_inv_out;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output ALU_dec31_is_32b;
reg ALU_dec31_is_32b;
(* enum_base_type = "LdstLen" *)
(* enum_value_0010 = "is2B" *)
(* enum_value_0100 = "is4B" *)
(* enum_value_1000 = "is8B" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [3:0] ALU_dec31_ldst_len;
reg [3:0] ALU_dec31_ldst_len;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] ALU_dec31_rc_sel;
reg [1:0] ALU_dec31_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output ALU_dec31_sgn;
reg ALU_dec31_sgn;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:355" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:357" *)
wire [4:0] opc_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
input [31:0] opcode_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
wire [9:0] opcode_switch;
ALU_dec31_dec_sub0 ALU_dec31_dec_sub0 (
.ALU_dec31_dec_sub0_SV_Ptype(ALU_dec31_dec_sub0_ALU_dec31_dec_sub0_SV_Ptype),
always @* begin
if (\initial ) begin end
ALU_dec31_in1_sel = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opc_in)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
ALU_dec31_in1_sel = ALU_dec31_dec_sub10_ALU_dec31_dec_sub10_in1_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
ALU_dec31_in1_sel = ALU_dec31_dec_sub0_ALU_dec31_dec_sub0_in1_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
ALU_dec31_in1_sel = ALU_dec31_dec_sub26_ALU_dec31_dec_sub26_in1_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
ALU_dec31_in1_sel = ALU_dec31_dec_sub22_ALU_dec31_dec_sub22_in1_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
ALU_dec31_in1_sel = ALU_dec31_dec_sub8_ALU_dec31_dec_sub8_in1_sel;
endcase
always @* begin
if (\initial ) begin end
ALU_dec31_in2_sel = 4'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opc_in)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
ALU_dec31_in2_sel = ALU_dec31_dec_sub10_ALU_dec31_dec_sub10_in2_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
ALU_dec31_in2_sel = ALU_dec31_dec_sub0_ALU_dec31_dec_sub0_in2_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
ALU_dec31_in2_sel = ALU_dec31_dec_sub26_ALU_dec31_dec_sub26_in2_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
ALU_dec31_in2_sel = ALU_dec31_dec_sub22_ALU_dec31_dec_sub22_in2_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
ALU_dec31_in2_sel = ALU_dec31_dec_sub8_ALU_dec31_dec_sub8_in2_sel;
endcase
always @* begin
if (\initial ) begin end
ALU_dec31_cr_in = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opc_in)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
ALU_dec31_cr_in = ALU_dec31_dec_sub10_ALU_dec31_dec_sub10_cr_in;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
ALU_dec31_cr_in = ALU_dec31_dec_sub0_ALU_dec31_dec_sub0_cr_in;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
ALU_dec31_cr_in = ALU_dec31_dec_sub26_ALU_dec31_dec_sub26_cr_in;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
ALU_dec31_cr_in = ALU_dec31_dec_sub22_ALU_dec31_dec_sub22_cr_in;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
ALU_dec31_cr_in = ALU_dec31_dec_sub8_ALU_dec31_dec_sub8_cr_in;
endcase
always @* begin
if (\initial ) begin end
ALU_dec31_cr_out = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opc_in)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
ALU_dec31_cr_out = ALU_dec31_dec_sub10_ALU_dec31_dec_sub10_cr_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
ALU_dec31_cr_out = ALU_dec31_dec_sub0_ALU_dec31_dec_sub0_cr_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
ALU_dec31_cr_out = ALU_dec31_dec_sub26_ALU_dec31_dec_sub26_cr_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
ALU_dec31_cr_out = ALU_dec31_dec_sub22_ALU_dec31_dec_sub22_cr_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
ALU_dec31_cr_out = ALU_dec31_dec_sub8_ALU_dec31_dec_sub8_cr_out;
endcase
always @* begin
if (\initial ) begin end
ALU_dec31_ldst_len = 4'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opc_in)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
ALU_dec31_ldst_len = ALU_dec31_dec_sub10_ALU_dec31_dec_sub10_ldst_len;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
ALU_dec31_ldst_len = ALU_dec31_dec_sub0_ALU_dec31_dec_sub0_ldst_len;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
ALU_dec31_ldst_len = ALU_dec31_dec_sub26_ALU_dec31_dec_sub26_ldst_len;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
ALU_dec31_ldst_len = ALU_dec31_dec_sub22_ALU_dec31_dec_sub22_ldst_len;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
ALU_dec31_ldst_len = ALU_dec31_dec_sub8_ALU_dec31_dec_sub8_ldst_len;
endcase
always @* begin
if (\initial ) begin end
ALU_dec31_rc_sel = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opc_in)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
ALU_dec31_rc_sel = ALU_dec31_dec_sub10_ALU_dec31_dec_sub10_rc_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
ALU_dec31_rc_sel = ALU_dec31_dec_sub0_ALU_dec31_dec_sub0_rc_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
ALU_dec31_rc_sel = ALU_dec31_dec_sub26_ALU_dec31_dec_sub26_rc_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
ALU_dec31_rc_sel = ALU_dec31_dec_sub22_ALU_dec31_dec_sub22_rc_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
ALU_dec31_rc_sel = ALU_dec31_dec_sub8_ALU_dec31_dec_sub8_rc_sel;
endcase
always @* begin
if (\initial ) begin end
ALU_dec31_cry_in = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opc_in)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
ALU_dec31_cry_in = ALU_dec31_dec_sub10_ALU_dec31_dec_sub10_cry_in;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
ALU_dec31_cry_in = ALU_dec31_dec_sub0_ALU_dec31_dec_sub0_cry_in;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
ALU_dec31_cry_in = ALU_dec31_dec_sub26_ALU_dec31_dec_sub26_cry_in;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
ALU_dec31_cry_in = ALU_dec31_dec_sub22_ALU_dec31_dec_sub22_cry_in;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
ALU_dec31_cry_in = ALU_dec31_dec_sub8_ALU_dec31_dec_sub8_cry_in;
endcase
always @* begin
if (\initial ) begin end
ALU_dec31_inv_a = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opc_in)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
ALU_dec31_inv_a = ALU_dec31_dec_sub10_ALU_dec31_dec_sub10_inv_a;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
ALU_dec31_inv_a = ALU_dec31_dec_sub0_ALU_dec31_dec_sub0_inv_a;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
ALU_dec31_inv_a = ALU_dec31_dec_sub26_ALU_dec31_dec_sub26_inv_a;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
ALU_dec31_inv_a = ALU_dec31_dec_sub22_ALU_dec31_dec_sub22_inv_a;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
ALU_dec31_inv_a = ALU_dec31_dec_sub8_ALU_dec31_dec_sub8_inv_a;
endcase
always @* begin
if (\initial ) begin end
ALU_dec31_inv_out = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opc_in)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
ALU_dec31_inv_out = ALU_dec31_dec_sub10_ALU_dec31_dec_sub10_inv_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
ALU_dec31_inv_out = ALU_dec31_dec_sub0_ALU_dec31_dec_sub0_inv_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
ALU_dec31_inv_out = ALU_dec31_dec_sub26_ALU_dec31_dec_sub26_inv_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
ALU_dec31_inv_out = ALU_dec31_dec_sub22_ALU_dec31_dec_sub22_inv_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
ALU_dec31_inv_out = ALU_dec31_dec_sub8_ALU_dec31_dec_sub8_inv_out;
endcase
always @* begin
if (\initial ) begin end
ALU_dec31_cry_out = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opc_in)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
ALU_dec31_cry_out = ALU_dec31_dec_sub10_ALU_dec31_dec_sub10_cry_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
ALU_dec31_cry_out = ALU_dec31_dec_sub0_ALU_dec31_dec_sub0_cry_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
ALU_dec31_cry_out = ALU_dec31_dec_sub26_ALU_dec31_dec_sub26_cry_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
ALU_dec31_cry_out = ALU_dec31_dec_sub22_ALU_dec31_dec_sub22_cry_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
ALU_dec31_cry_out = ALU_dec31_dec_sub8_ALU_dec31_dec_sub8_cry_out;
endcase
always @* begin
if (\initial ) begin end
ALU_dec31_is_32b = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opc_in)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
ALU_dec31_is_32b = ALU_dec31_dec_sub10_ALU_dec31_dec_sub10_is_32b;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
ALU_dec31_is_32b = ALU_dec31_dec_sub0_ALU_dec31_dec_sub0_is_32b;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
ALU_dec31_is_32b = ALU_dec31_dec_sub26_ALU_dec31_dec_sub26_is_32b;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
ALU_dec31_is_32b = ALU_dec31_dec_sub22_ALU_dec31_dec_sub22_is_32b;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
ALU_dec31_is_32b = ALU_dec31_dec_sub8_ALU_dec31_dec_sub8_is_32b;
endcase
always @* begin
if (\initial ) begin end
ALU_dec31_sgn = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opc_in)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
ALU_dec31_sgn = ALU_dec31_dec_sub10_ALU_dec31_dec_sub10_sgn;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
ALU_dec31_sgn = ALU_dec31_dec_sub0_ALU_dec31_dec_sub0_sgn;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
ALU_dec31_sgn = ALU_dec31_dec_sub26_ALU_dec31_dec_sub26_sgn;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
ALU_dec31_sgn = ALU_dec31_dec_sub22_ALU_dec31_dec_sub22_sgn;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
ALU_dec31_sgn = ALU_dec31_dec_sub8_ALU_dec31_dec_sub8_sgn;
endcase
always @* begin
if (\initial ) begin end
ALU_dec31_function_unit = 15'h0000;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opc_in)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
ALU_dec31_function_unit = ALU_dec31_dec_sub10_ALU_dec31_dec_sub10_function_unit;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
ALU_dec31_function_unit = ALU_dec31_dec_sub0_ALU_dec31_dec_sub0_function_unit;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
ALU_dec31_function_unit = ALU_dec31_dec_sub26_ALU_dec31_dec_sub26_function_unit;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
ALU_dec31_function_unit = ALU_dec31_dec_sub22_ALU_dec31_dec_sub22_function_unit;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
ALU_dec31_function_unit = ALU_dec31_dec_sub8_ALU_dec31_dec_sub8_function_unit;
endcase
always @* begin
if (\initial ) begin end
ALU_dec31_internal_op = 7'h00;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opc_in)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
ALU_dec31_internal_op = ALU_dec31_dec_sub10_ALU_dec31_dec_sub10_internal_op;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
ALU_dec31_internal_op = ALU_dec31_dec_sub0_ALU_dec31_dec_sub0_internal_op;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
ALU_dec31_internal_op = ALU_dec31_dec_sub26_ALU_dec31_dec_sub26_internal_op;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
ALU_dec31_internal_op = ALU_dec31_dec_sub22_ALU_dec31_dec_sub22_internal_op;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
ALU_dec31_internal_op = ALU_dec31_dec_sub8_ALU_dec31_dec_sub8_internal_op;
endcase
always @* begin
if (\initial ) begin end
ALU_dec31_SV_Ptype = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opc_in)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
ALU_dec31_SV_Ptype = ALU_dec31_dec_sub10_ALU_dec31_dec_sub10_SV_Ptype;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
ALU_dec31_SV_Ptype = ALU_dec31_dec_sub0_ALU_dec31_dec_sub0_SV_Ptype;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
ALU_dec31_SV_Ptype = ALU_dec31_dec_sub26_ALU_dec31_dec_sub26_SV_Ptype;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
ALU_dec31_SV_Ptype = ALU_dec31_dec_sub22_ALU_dec31_dec_sub22_SV_Ptype;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
ALU_dec31_SV_Ptype = ALU_dec31_dec_sub8_ALU_dec31_dec_sub8_SV_Ptype;
endcase
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "P1" *)
(* enum_value_10 = "P2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] ALU_dec31_dec_sub0_SV_Ptype;
reg [1:0] ALU_dec31_dec_sub0_SV_Ptype;
(* enum_base_type = "CRInSel" *)
(* enum_value_101 = "BC" *)
(* enum_value_110 = "WHOLE_REG" *)
(* enum_value_111 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] ALU_dec31_dec_sub0_cr_in;
reg [2:0] ALU_dec31_dec_sub0_cr_in;
(* enum_base_type = "CROutSel" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] ALU_dec31_dec_sub0_cr_out;
reg [2:0] ALU_dec31_dec_sub0_cr_out;
(* enum_base_type = "CryIn" *)
(* enum_value_00 = "ZERO" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "CA" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] ALU_dec31_dec_sub0_cry_in;
reg [1:0] ALU_dec31_dec_sub0_cry_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output ALU_dec31_dec_sub0_cry_out;
reg ALU_dec31_dec_sub0_cry_out;
(* enum_base_type = "Function" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [14:0] ALU_dec31_dec_sub0_function_unit;
reg [14:0] ALU_dec31_dec_sub0_function_unit;
(* enum_base_type = "In1Sel" *)
(* enum_value_100 = "RS" *)
(* enum_value_101 = "FRA" *)
(* enum_value_110 = "FRS" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] ALU_dec31_dec_sub0_in1_sel;
reg [2:0] ALU_dec31_dec_sub0_in1_sel;
(* enum_base_type = "In2Sel" *)
(* enum_value_1100 = "SPR" *)
(* enum_value_1101 = "RS" *)
(* enum_value_1110 = "FRB" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [3:0] ALU_dec31_dec_sub0_in2_sel;
reg [3:0] ALU_dec31_dec_sub0_in2_sel;
(* enum_base_type = "MicrOp" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [6:0] ALU_dec31_dec_sub0_internal_op;
reg [6:0] ALU_dec31_dec_sub0_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output ALU_dec31_dec_sub0_inv_a;
reg ALU_dec31_dec_sub0_inv_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output ALU_dec31_dec_sub0_inv_out;
reg ALU_dec31_dec_sub0_inv_out;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output ALU_dec31_dec_sub0_is_32b;
reg ALU_dec31_dec_sub0_is_32b;
(* enum_base_type = "LdstLen" *)
(* enum_value_0010 = "is2B" *)
(* enum_value_0100 = "is4B" *)
(* enum_value_1000 = "is8B" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [3:0] ALU_dec31_dec_sub0_ldst_len;
reg [3:0] ALU_dec31_dec_sub0_ldst_len;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] ALU_dec31_dec_sub0_rc_sel;
reg [1:0] ALU_dec31_dec_sub0_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output ALU_dec31_dec_sub0_sgn;
reg ALU_dec31_dec_sub0_sgn;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
input [31:0] opcode_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
wire [4:0] opcode_switch;
always @* begin
if (\initial ) begin end
ALU_dec31_dec_sub0_function_unit = 15'h0000;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
ALU_dec31_dec_sub0_function_unit = 15'h0002;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
ALU_dec31_dec_sub0_function_unit = 15'h0002;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
ALU_dec31_dec_sub0_function_unit = 15'h0002;
endcase
always @* begin
if (\initial ) begin end
ALU_dec31_dec_sub0_cry_in = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
ALU_dec31_dec_sub0_cry_in = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
ALU_dec31_dec_sub0_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
ALU_dec31_dec_sub0_cry_in = 2'h1;
endcase
always @* begin
if (\initial ) begin end
ALU_dec31_dec_sub0_inv_a = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
ALU_dec31_dec_sub0_inv_a = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
ALU_dec31_dec_sub0_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
ALU_dec31_dec_sub0_inv_a = 1'h1;
endcase
always @* begin
if (\initial ) begin end
ALU_dec31_dec_sub0_inv_out = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
ALU_dec31_dec_sub0_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
ALU_dec31_dec_sub0_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
ALU_dec31_dec_sub0_inv_out = 1'h0;
endcase
always @* begin
if (\initial ) begin end
ALU_dec31_dec_sub0_cry_out = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
ALU_dec31_dec_sub0_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
ALU_dec31_dec_sub0_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
ALU_dec31_dec_sub0_cry_out = 1'h0;
endcase
always @* begin
if (\initial ) begin end
ALU_dec31_dec_sub0_is_32b = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
ALU_dec31_dec_sub0_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
ALU_dec31_dec_sub0_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
ALU_dec31_dec_sub0_is_32b = 1'h0;
endcase
always @* begin
if (\initial ) begin end
ALU_dec31_dec_sub0_sgn = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
ALU_dec31_dec_sub0_sgn = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
ALU_dec31_dec_sub0_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
ALU_dec31_dec_sub0_sgn = 1'h0;
endcase
always @* begin
if (\initial ) begin end
ALU_dec31_dec_sub0_internal_op = 7'h00;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
ALU_dec31_dec_sub0_internal_op = 7'h0a;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
ALU_dec31_dec_sub0_internal_op = 7'h0c;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
ALU_dec31_dec_sub0_internal_op = 7'h0a;
endcase
always @* begin
if (\initial ) begin end
ALU_dec31_dec_sub0_SV_Ptype = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
ALU_dec31_dec_sub0_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
ALU_dec31_dec_sub0_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
ALU_dec31_dec_sub0_SV_Ptype = 2'h1;
endcase
always @* begin
if (\initial ) begin end
ALU_dec31_dec_sub0_in1_sel = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
ALU_dec31_dec_sub0_in1_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
ALU_dec31_dec_sub0_in1_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
ALU_dec31_dec_sub0_in1_sel = 3'h1;
endcase
always @* begin
if (\initial ) begin end
ALU_dec31_dec_sub0_in2_sel = 4'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
ALU_dec31_dec_sub0_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
ALU_dec31_dec_sub0_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
ALU_dec31_dec_sub0_in2_sel = 4'h1;
endcase
always @* begin
if (\initial ) begin end
ALU_dec31_dec_sub0_cr_in = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
ALU_dec31_dec_sub0_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
ALU_dec31_dec_sub0_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
ALU_dec31_dec_sub0_cr_in = 3'h0;
endcase
always @* begin
if (\initial ) begin end
ALU_dec31_dec_sub0_cr_out = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
ALU_dec31_dec_sub0_cr_out = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
ALU_dec31_dec_sub0_cr_out = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
ALU_dec31_dec_sub0_cr_out = 3'h2;
endcase
always @* begin
if (\initial ) begin end
ALU_dec31_dec_sub0_ldst_len = 4'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
ALU_dec31_dec_sub0_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
ALU_dec31_dec_sub0_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
ALU_dec31_dec_sub0_ldst_len = 4'h0;
endcase
always @* begin
if (\initial ) begin end
ALU_dec31_dec_sub0_rc_sel = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
ALU_dec31_dec_sub0_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
ALU_dec31_dec_sub0_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
ALU_dec31_dec_sub0_rc_sel = 2'h0;
endcase
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "P1" *)
(* enum_value_10 = "P2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] ALU_dec31_dec_sub10_SV_Ptype;
reg [1:0] ALU_dec31_dec_sub10_SV_Ptype;
(* enum_base_type = "CRInSel" *)
(* enum_value_101 = "BC" *)
(* enum_value_110 = "WHOLE_REG" *)
(* enum_value_111 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] ALU_dec31_dec_sub10_cr_in;
reg [2:0] ALU_dec31_dec_sub10_cr_in;
(* enum_base_type = "CROutSel" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] ALU_dec31_dec_sub10_cr_out;
reg [2:0] ALU_dec31_dec_sub10_cr_out;
(* enum_base_type = "CryIn" *)
(* enum_value_00 = "ZERO" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "CA" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] ALU_dec31_dec_sub10_cry_in;
reg [1:0] ALU_dec31_dec_sub10_cry_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output ALU_dec31_dec_sub10_cry_out;
reg ALU_dec31_dec_sub10_cry_out;
(* enum_base_type = "Function" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [14:0] ALU_dec31_dec_sub10_function_unit;
reg [14:0] ALU_dec31_dec_sub10_function_unit;
(* enum_base_type = "In1Sel" *)
(* enum_value_100 = "RS" *)
(* enum_value_101 = "FRA" *)
(* enum_value_110 = "FRS" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] ALU_dec31_dec_sub10_in1_sel;
reg [2:0] ALU_dec31_dec_sub10_in1_sel;
(* enum_base_type = "In2Sel" *)
(* enum_value_1100 = "SPR" *)
(* enum_value_1101 = "RS" *)
(* enum_value_1110 = "FRB" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [3:0] ALU_dec31_dec_sub10_in2_sel;
reg [3:0] ALU_dec31_dec_sub10_in2_sel;
(* enum_base_type = "MicrOp" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [6:0] ALU_dec31_dec_sub10_internal_op;
reg [6:0] ALU_dec31_dec_sub10_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output ALU_dec31_dec_sub10_inv_a;
reg ALU_dec31_dec_sub10_inv_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output ALU_dec31_dec_sub10_inv_out;
reg ALU_dec31_dec_sub10_inv_out;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output ALU_dec31_dec_sub10_is_32b;
reg ALU_dec31_dec_sub10_is_32b;
(* enum_base_type = "LdstLen" *)
(* enum_value_0010 = "is2B" *)
(* enum_value_0100 = "is4B" *)
(* enum_value_1000 = "is8B" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [3:0] ALU_dec31_dec_sub10_ldst_len;
reg [3:0] ALU_dec31_dec_sub10_ldst_len;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] ALU_dec31_dec_sub10_rc_sel;
reg [1:0] ALU_dec31_dec_sub10_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output ALU_dec31_dec_sub10_sgn;
reg ALU_dec31_dec_sub10_sgn;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
input [31:0] opcode_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
wire [4:0] opcode_switch;
always @* begin
if (\initial ) begin end
ALU_dec31_dec_sub10_function_unit = 15'h0000;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
ALU_dec31_dec_sub10_function_unit = 15'h0002;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
ALU_dec31_dec_sub10_function_unit = 15'h0002;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
ALU_dec31_dec_sub10_function_unit = 15'h0002;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
ALU_dec31_dec_sub10_function_unit = 15'h0002;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
ALU_dec31_dec_sub10_function_unit = 15'h0002;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
ALU_dec31_dec_sub10_function_unit = 15'h0002;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
ALU_dec31_dec_sub10_function_unit = 15'h0002;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
ALU_dec31_dec_sub10_function_unit = 15'h0002;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
ALU_dec31_dec_sub10_function_unit = 15'h0002;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
ALU_dec31_dec_sub10_function_unit = 15'h0002;
endcase
always @* begin
if (\initial ) begin end
ALU_dec31_dec_sub10_cry_in = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
ALU_dec31_dec_sub10_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
ALU_dec31_dec_sub10_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
ALU_dec31_dec_sub10_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
ALU_dec31_dec_sub10_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
ALU_dec31_dec_sub10_cry_in = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
ALU_dec31_dec_sub10_cry_in = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
ALU_dec31_dec_sub10_cry_in = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
ALU_dec31_dec_sub10_cry_in = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
ALU_dec31_dec_sub10_cry_in = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
ALU_dec31_dec_sub10_cry_in = 2'h2;
endcase
always @* begin
if (\initial ) begin end
ALU_dec31_dec_sub10_inv_a = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
ALU_dec31_dec_sub10_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
ALU_dec31_dec_sub10_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
ALU_dec31_dec_sub10_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
ALU_dec31_dec_sub10_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
ALU_dec31_dec_sub10_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
ALU_dec31_dec_sub10_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
ALU_dec31_dec_sub10_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
ALU_dec31_dec_sub10_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
ALU_dec31_dec_sub10_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
ALU_dec31_dec_sub10_inv_a = 1'h0;
endcase
always @* begin
if (\initial ) begin end
ALU_dec31_dec_sub10_inv_out = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
ALU_dec31_dec_sub10_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
ALU_dec31_dec_sub10_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
ALU_dec31_dec_sub10_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
ALU_dec31_dec_sub10_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
ALU_dec31_dec_sub10_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
ALU_dec31_dec_sub10_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
ALU_dec31_dec_sub10_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
ALU_dec31_dec_sub10_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
ALU_dec31_dec_sub10_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
ALU_dec31_dec_sub10_inv_out = 1'h0;
endcase
always @* begin
if (\initial ) begin end
ALU_dec31_dec_sub10_cry_out = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
ALU_dec31_dec_sub10_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
ALU_dec31_dec_sub10_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
ALU_dec31_dec_sub10_cry_out = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
ALU_dec31_dec_sub10_cry_out = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
ALU_dec31_dec_sub10_cry_out = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
ALU_dec31_dec_sub10_cry_out = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
ALU_dec31_dec_sub10_cry_out = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
ALU_dec31_dec_sub10_cry_out = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
ALU_dec31_dec_sub10_cry_out = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
ALU_dec31_dec_sub10_cry_out = 1'h1;
endcase
always @* begin
if (\initial ) begin end
ALU_dec31_dec_sub10_is_32b = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
ALU_dec31_dec_sub10_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
ALU_dec31_dec_sub10_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
ALU_dec31_dec_sub10_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
ALU_dec31_dec_sub10_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
ALU_dec31_dec_sub10_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
ALU_dec31_dec_sub10_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
ALU_dec31_dec_sub10_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
ALU_dec31_dec_sub10_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
ALU_dec31_dec_sub10_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
ALU_dec31_dec_sub10_is_32b = 1'h0;
endcase
always @* begin
if (\initial ) begin end
ALU_dec31_dec_sub10_sgn = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
ALU_dec31_dec_sub10_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
ALU_dec31_dec_sub10_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
ALU_dec31_dec_sub10_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
ALU_dec31_dec_sub10_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
ALU_dec31_dec_sub10_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
ALU_dec31_dec_sub10_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
ALU_dec31_dec_sub10_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
ALU_dec31_dec_sub10_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
ALU_dec31_dec_sub10_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
ALU_dec31_dec_sub10_sgn = 1'h0;
endcase
always @* begin
if (\initial ) begin end
ALU_dec31_dec_sub10_internal_op = 7'h00;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
ALU_dec31_dec_sub10_internal_op = 7'h02;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
ALU_dec31_dec_sub10_internal_op = 7'h02;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
ALU_dec31_dec_sub10_internal_op = 7'h02;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
ALU_dec31_dec_sub10_internal_op = 7'h02;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
ALU_dec31_dec_sub10_internal_op = 7'h02;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
ALU_dec31_dec_sub10_internal_op = 7'h02;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
ALU_dec31_dec_sub10_internal_op = 7'h02;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
ALU_dec31_dec_sub10_internal_op = 7'h02;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
ALU_dec31_dec_sub10_internal_op = 7'h02;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
ALU_dec31_dec_sub10_internal_op = 7'h02;
endcase
always @* begin
if (\initial ) begin end
ALU_dec31_dec_sub10_SV_Ptype = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
ALU_dec31_dec_sub10_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
ALU_dec31_dec_sub10_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
ALU_dec31_dec_sub10_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
ALU_dec31_dec_sub10_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
ALU_dec31_dec_sub10_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
ALU_dec31_dec_sub10_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
ALU_dec31_dec_sub10_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
ALU_dec31_dec_sub10_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
ALU_dec31_dec_sub10_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
ALU_dec31_dec_sub10_SV_Ptype = 2'h2;
endcase
always @* begin
if (\initial ) begin end
ALU_dec31_dec_sub10_in1_sel = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
ALU_dec31_dec_sub10_in1_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
ALU_dec31_dec_sub10_in1_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
ALU_dec31_dec_sub10_in1_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
ALU_dec31_dec_sub10_in1_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
ALU_dec31_dec_sub10_in1_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
ALU_dec31_dec_sub10_in1_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
ALU_dec31_dec_sub10_in1_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
ALU_dec31_dec_sub10_in1_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
ALU_dec31_dec_sub10_in1_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
ALU_dec31_dec_sub10_in1_sel = 3'h1;
endcase
always @* begin
if (\initial ) begin end
ALU_dec31_dec_sub10_in2_sel = 4'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
ALU_dec31_dec_sub10_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
ALU_dec31_dec_sub10_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
ALU_dec31_dec_sub10_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
ALU_dec31_dec_sub10_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
ALU_dec31_dec_sub10_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
ALU_dec31_dec_sub10_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
ALU_dec31_dec_sub10_in2_sel = 4'h9;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
ALU_dec31_dec_sub10_in2_sel = 4'h9;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
ALU_dec31_dec_sub10_in2_sel = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
ALU_dec31_dec_sub10_in2_sel = 4'h0;
endcase
always @* begin
if (\initial ) begin end
ALU_dec31_dec_sub10_cr_in = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
ALU_dec31_dec_sub10_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
ALU_dec31_dec_sub10_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
ALU_dec31_dec_sub10_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
ALU_dec31_dec_sub10_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
ALU_dec31_dec_sub10_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
ALU_dec31_dec_sub10_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
ALU_dec31_dec_sub10_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
ALU_dec31_dec_sub10_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
ALU_dec31_dec_sub10_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
ALU_dec31_dec_sub10_cr_in = 3'h0;
endcase
always @* begin
if (\initial ) begin end
ALU_dec31_dec_sub10_cr_out = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
ALU_dec31_dec_sub10_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
ALU_dec31_dec_sub10_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
ALU_dec31_dec_sub10_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
ALU_dec31_dec_sub10_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
ALU_dec31_dec_sub10_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
ALU_dec31_dec_sub10_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
ALU_dec31_dec_sub10_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
ALU_dec31_dec_sub10_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
ALU_dec31_dec_sub10_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
ALU_dec31_dec_sub10_cr_out = 3'h1;
endcase
always @* begin
if (\initial ) begin end
ALU_dec31_dec_sub10_ldst_len = 4'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
ALU_dec31_dec_sub10_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
ALU_dec31_dec_sub10_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
ALU_dec31_dec_sub10_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
ALU_dec31_dec_sub10_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
ALU_dec31_dec_sub10_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
ALU_dec31_dec_sub10_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
ALU_dec31_dec_sub10_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
ALU_dec31_dec_sub10_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
ALU_dec31_dec_sub10_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
ALU_dec31_dec_sub10_ldst_len = 4'h0;
endcase
always @* begin
if (\initial ) begin end
ALU_dec31_dec_sub10_rc_sel = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
ALU_dec31_dec_sub10_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
ALU_dec31_dec_sub10_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
ALU_dec31_dec_sub10_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
ALU_dec31_dec_sub10_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
ALU_dec31_dec_sub10_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
ALU_dec31_dec_sub10_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
ALU_dec31_dec_sub10_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
ALU_dec31_dec_sub10_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
ALU_dec31_dec_sub10_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
ALU_dec31_dec_sub10_rc_sel = 2'h2;
endcase
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "P1" *)
(* enum_value_10 = "P2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] ALU_dec31_dec_sub22_SV_Ptype;
reg [1:0] ALU_dec31_dec_sub22_SV_Ptype;
(* enum_base_type = "CRInSel" *)
(* enum_value_101 = "BC" *)
(* enum_value_110 = "WHOLE_REG" *)
(* enum_value_111 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] ALU_dec31_dec_sub22_cr_in;
reg [2:0] ALU_dec31_dec_sub22_cr_in;
(* enum_base_type = "CROutSel" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] ALU_dec31_dec_sub22_cr_out;
reg [2:0] ALU_dec31_dec_sub22_cr_out;
(* enum_base_type = "CryIn" *)
(* enum_value_00 = "ZERO" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "CA" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] ALU_dec31_dec_sub22_cry_in;
reg [1:0] ALU_dec31_dec_sub22_cry_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output ALU_dec31_dec_sub22_cry_out;
reg ALU_dec31_dec_sub22_cry_out;
(* enum_base_type = "Function" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [14:0] ALU_dec31_dec_sub22_function_unit;
reg [14:0] ALU_dec31_dec_sub22_function_unit;
(* enum_base_type = "In1Sel" *)
(* enum_value_100 = "RS" *)
(* enum_value_101 = "FRA" *)
(* enum_value_110 = "FRS" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] ALU_dec31_dec_sub22_in1_sel;
reg [2:0] ALU_dec31_dec_sub22_in1_sel;
(* enum_base_type = "In2Sel" *)
(* enum_value_1100 = "SPR" *)
(* enum_value_1101 = "RS" *)
(* enum_value_1110 = "FRB" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [3:0] ALU_dec31_dec_sub22_in2_sel;
reg [3:0] ALU_dec31_dec_sub22_in2_sel;
(* enum_base_type = "MicrOp" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [6:0] ALU_dec31_dec_sub22_internal_op;
reg [6:0] ALU_dec31_dec_sub22_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output ALU_dec31_dec_sub22_inv_a;
reg ALU_dec31_dec_sub22_inv_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output ALU_dec31_dec_sub22_inv_out;
reg ALU_dec31_dec_sub22_inv_out;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output ALU_dec31_dec_sub22_is_32b;
reg ALU_dec31_dec_sub22_is_32b;
(* enum_base_type = "LdstLen" *)
(* enum_value_0010 = "is2B" *)
(* enum_value_0100 = "is4B" *)
(* enum_value_1000 = "is8B" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [3:0] ALU_dec31_dec_sub22_ldst_len;
reg [3:0] ALU_dec31_dec_sub22_ldst_len;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] ALU_dec31_dec_sub22_rc_sel;
reg [1:0] ALU_dec31_dec_sub22_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output ALU_dec31_dec_sub22_sgn;
reg ALU_dec31_dec_sub22_sgn;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
input [31:0] opcode_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
wire [4:0] opcode_switch;
always @* begin
if (\initial ) begin end
ALU_dec31_dec_sub22_function_unit = 15'h0000;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
ALU_dec31_dec_sub22_function_unit = 15'h0002;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
ALU_dec31_dec_sub22_function_unit = 15'h0002;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
ALU_dec31_dec_sub22_function_unit = 15'h0002;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
ALU_dec31_dec_sub22_function_unit = 15'h0002;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
ALU_dec31_dec_sub22_function_unit = 15'h0002;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
ALU_dec31_dec_sub22_function_unit = 15'h0002;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
ALU_dec31_dec_sub22_function_unit = 15'h0002;
endcase
always @* begin
if (\initial ) begin end
ALU_dec31_dec_sub22_cry_in = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
ALU_dec31_dec_sub22_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
ALU_dec31_dec_sub22_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
ALU_dec31_dec_sub22_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
ALU_dec31_dec_sub22_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
ALU_dec31_dec_sub22_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
ALU_dec31_dec_sub22_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
ALU_dec31_dec_sub22_cry_in = 2'h0;
endcase
always @* begin
if (\initial ) begin end
ALU_dec31_dec_sub22_inv_a = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
ALU_dec31_dec_sub22_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
ALU_dec31_dec_sub22_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
ALU_dec31_dec_sub22_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
ALU_dec31_dec_sub22_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
ALU_dec31_dec_sub22_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
ALU_dec31_dec_sub22_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
ALU_dec31_dec_sub22_inv_a = 1'h0;
endcase
always @* begin
if (\initial ) begin end
ALU_dec31_dec_sub22_inv_out = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
ALU_dec31_dec_sub22_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
ALU_dec31_dec_sub22_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
ALU_dec31_dec_sub22_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
ALU_dec31_dec_sub22_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
ALU_dec31_dec_sub22_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
ALU_dec31_dec_sub22_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
ALU_dec31_dec_sub22_inv_out = 1'h0;
endcase
always @* begin
if (\initial ) begin end
ALU_dec31_dec_sub22_cry_out = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
ALU_dec31_dec_sub22_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
ALU_dec31_dec_sub22_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
ALU_dec31_dec_sub22_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
ALU_dec31_dec_sub22_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
ALU_dec31_dec_sub22_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
ALU_dec31_dec_sub22_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
ALU_dec31_dec_sub22_cry_out = 1'h0;
endcase
always @* begin
if (\initial ) begin end
ALU_dec31_dec_sub22_is_32b = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
ALU_dec31_dec_sub22_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
ALU_dec31_dec_sub22_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
ALU_dec31_dec_sub22_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
ALU_dec31_dec_sub22_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
ALU_dec31_dec_sub22_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
ALU_dec31_dec_sub22_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
ALU_dec31_dec_sub22_is_32b = 1'h0;
endcase
always @* begin
if (\initial ) begin end
ALU_dec31_dec_sub22_sgn = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
ALU_dec31_dec_sub22_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
ALU_dec31_dec_sub22_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
ALU_dec31_dec_sub22_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
ALU_dec31_dec_sub22_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
ALU_dec31_dec_sub22_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
ALU_dec31_dec_sub22_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
ALU_dec31_dec_sub22_sgn = 1'h0;
endcase
always @* begin
if (\initial ) begin end
ALU_dec31_dec_sub22_internal_op = 7'h00;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
ALU_dec31_dec_sub22_internal_op = 7'h01;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
ALU_dec31_dec_sub22_internal_op = 7'h01;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
ALU_dec31_dec_sub22_internal_op = 7'h01;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
ALU_dec31_dec_sub22_internal_op = 7'h01;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
ALU_dec31_dec_sub22_internal_op = 7'h21;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
ALU_dec31_dec_sub22_internal_op = 7'h01;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
ALU_dec31_dec_sub22_internal_op = 7'h01;
endcase
always @* begin
if (\initial ) begin end
ALU_dec31_dec_sub22_SV_Ptype = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
ALU_dec31_dec_sub22_SV_Ptype = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
ALU_dec31_dec_sub22_SV_Ptype = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
ALU_dec31_dec_sub22_SV_Ptype = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
ALU_dec31_dec_sub22_SV_Ptype = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
ALU_dec31_dec_sub22_SV_Ptype = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
ALU_dec31_dec_sub22_SV_Ptype = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
ALU_dec31_dec_sub22_SV_Ptype = 2'h0;
endcase
always @* begin
if (\initial ) begin end
ALU_dec31_dec_sub22_in1_sel = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
ALU_dec31_dec_sub22_in1_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
ALU_dec31_dec_sub22_in1_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
ALU_dec31_dec_sub22_in1_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
ALU_dec31_dec_sub22_in1_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
ALU_dec31_dec_sub22_in1_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
ALU_dec31_dec_sub22_in1_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
ALU_dec31_dec_sub22_in1_sel = 3'h0;
endcase
always @* begin
if (\initial ) begin end
ALU_dec31_dec_sub22_in2_sel = 4'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
ALU_dec31_dec_sub22_in2_sel = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
ALU_dec31_dec_sub22_in2_sel = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
ALU_dec31_dec_sub22_in2_sel = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
ALU_dec31_dec_sub22_in2_sel = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
ALU_dec31_dec_sub22_in2_sel = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
ALU_dec31_dec_sub22_in2_sel = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
ALU_dec31_dec_sub22_in2_sel = 4'h0;
endcase
always @* begin
if (\initial ) begin end
ALU_dec31_dec_sub22_cr_in = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
ALU_dec31_dec_sub22_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
ALU_dec31_dec_sub22_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
ALU_dec31_dec_sub22_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
ALU_dec31_dec_sub22_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
ALU_dec31_dec_sub22_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
ALU_dec31_dec_sub22_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
ALU_dec31_dec_sub22_cr_in = 3'h0;
endcase
always @* begin
if (\initial ) begin end
ALU_dec31_dec_sub22_cr_out = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
ALU_dec31_dec_sub22_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
ALU_dec31_dec_sub22_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
ALU_dec31_dec_sub22_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
ALU_dec31_dec_sub22_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
ALU_dec31_dec_sub22_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
ALU_dec31_dec_sub22_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
ALU_dec31_dec_sub22_cr_out = 3'h0;
endcase
always @* begin
if (\initial ) begin end
ALU_dec31_dec_sub22_ldst_len = 4'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
ALU_dec31_dec_sub22_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
ALU_dec31_dec_sub22_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
ALU_dec31_dec_sub22_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
ALU_dec31_dec_sub22_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
ALU_dec31_dec_sub22_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
ALU_dec31_dec_sub22_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
ALU_dec31_dec_sub22_ldst_len = 4'h0;
endcase
always @* begin
if (\initial ) begin end
ALU_dec31_dec_sub22_rc_sel = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
ALU_dec31_dec_sub22_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
ALU_dec31_dec_sub22_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
ALU_dec31_dec_sub22_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
ALU_dec31_dec_sub22_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
ALU_dec31_dec_sub22_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
ALU_dec31_dec_sub22_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
ALU_dec31_dec_sub22_rc_sel = 2'h0;
endcase
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "P1" *)
(* enum_value_10 = "P2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] ALU_dec31_dec_sub26_SV_Ptype;
reg [1:0] ALU_dec31_dec_sub26_SV_Ptype;
(* enum_base_type = "CRInSel" *)
(* enum_value_101 = "BC" *)
(* enum_value_110 = "WHOLE_REG" *)
(* enum_value_111 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] ALU_dec31_dec_sub26_cr_in;
reg [2:0] ALU_dec31_dec_sub26_cr_in;
(* enum_base_type = "CROutSel" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] ALU_dec31_dec_sub26_cr_out;
reg [2:0] ALU_dec31_dec_sub26_cr_out;
(* enum_base_type = "CryIn" *)
(* enum_value_00 = "ZERO" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "CA" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] ALU_dec31_dec_sub26_cry_in;
reg [1:0] ALU_dec31_dec_sub26_cry_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output ALU_dec31_dec_sub26_cry_out;
reg ALU_dec31_dec_sub26_cry_out;
(* enum_base_type = "Function" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [14:0] ALU_dec31_dec_sub26_function_unit;
reg [14:0] ALU_dec31_dec_sub26_function_unit;
(* enum_base_type = "In1Sel" *)
(* enum_value_100 = "RS" *)
(* enum_value_101 = "FRA" *)
(* enum_value_110 = "FRS" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] ALU_dec31_dec_sub26_in1_sel;
reg [2:0] ALU_dec31_dec_sub26_in1_sel;
(* enum_base_type = "In2Sel" *)
(* enum_value_1100 = "SPR" *)
(* enum_value_1101 = "RS" *)
(* enum_value_1110 = "FRB" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [3:0] ALU_dec31_dec_sub26_in2_sel;
reg [3:0] ALU_dec31_dec_sub26_in2_sel;
(* enum_base_type = "MicrOp" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [6:0] ALU_dec31_dec_sub26_internal_op;
reg [6:0] ALU_dec31_dec_sub26_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output ALU_dec31_dec_sub26_inv_a;
reg ALU_dec31_dec_sub26_inv_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output ALU_dec31_dec_sub26_inv_out;
reg ALU_dec31_dec_sub26_inv_out;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output ALU_dec31_dec_sub26_is_32b;
reg ALU_dec31_dec_sub26_is_32b;
(* enum_base_type = "LdstLen" *)
(* enum_value_0010 = "is2B" *)
(* enum_value_0100 = "is4B" *)
(* enum_value_1000 = "is8B" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [3:0] ALU_dec31_dec_sub26_ldst_len;
reg [3:0] ALU_dec31_dec_sub26_ldst_len;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] ALU_dec31_dec_sub26_rc_sel;
reg [1:0] ALU_dec31_dec_sub26_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output ALU_dec31_dec_sub26_sgn;
reg ALU_dec31_dec_sub26_sgn;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
input [31:0] opcode_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
wire [4:0] opcode_switch;
always @* begin
if (\initial ) begin end
ALU_dec31_dec_sub26_function_unit = 15'h0000;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
ALU_dec31_dec_sub26_function_unit = 15'h0002;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
ALU_dec31_dec_sub26_function_unit = 15'h0002;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
ALU_dec31_dec_sub26_function_unit = 15'h0002;
endcase
always @* begin
if (\initial ) begin end
ALU_dec31_dec_sub26_cry_in = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
ALU_dec31_dec_sub26_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
ALU_dec31_dec_sub26_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
ALU_dec31_dec_sub26_cry_in = 2'h0;
endcase
always @* begin
if (\initial ) begin end
ALU_dec31_dec_sub26_inv_a = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
ALU_dec31_dec_sub26_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
ALU_dec31_dec_sub26_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
ALU_dec31_dec_sub26_inv_a = 1'h0;
endcase
always @* begin
if (\initial ) begin end
ALU_dec31_dec_sub26_inv_out = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
ALU_dec31_dec_sub26_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
ALU_dec31_dec_sub26_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
ALU_dec31_dec_sub26_inv_out = 1'h0;
endcase
always @* begin
if (\initial ) begin end
ALU_dec31_dec_sub26_cry_out = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
ALU_dec31_dec_sub26_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
ALU_dec31_dec_sub26_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
ALU_dec31_dec_sub26_cry_out = 1'h0;
endcase
always @* begin
if (\initial ) begin end
ALU_dec31_dec_sub26_is_32b = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
ALU_dec31_dec_sub26_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
ALU_dec31_dec_sub26_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
ALU_dec31_dec_sub26_is_32b = 1'h0;
endcase
always @* begin
if (\initial ) begin end
ALU_dec31_dec_sub26_sgn = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
ALU_dec31_dec_sub26_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
ALU_dec31_dec_sub26_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
ALU_dec31_dec_sub26_sgn = 1'h0;
endcase
always @* begin
if (\initial ) begin end
ALU_dec31_dec_sub26_internal_op = 7'h00;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
ALU_dec31_dec_sub26_internal_op = 7'h1f;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
ALU_dec31_dec_sub26_internal_op = 7'h1f;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
ALU_dec31_dec_sub26_internal_op = 7'h1f;
endcase
always @* begin
if (\initial ) begin end
ALU_dec31_dec_sub26_SV_Ptype = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
ALU_dec31_dec_sub26_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
ALU_dec31_dec_sub26_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
ALU_dec31_dec_sub26_SV_Ptype = 2'h2;
endcase
always @* begin
if (\initial ) begin end
ALU_dec31_dec_sub26_in1_sel = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
ALU_dec31_dec_sub26_in1_sel = 3'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
ALU_dec31_dec_sub26_in1_sel = 3'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
ALU_dec31_dec_sub26_in1_sel = 3'h4;
endcase
always @* begin
if (\initial ) begin end
ALU_dec31_dec_sub26_in2_sel = 4'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
ALU_dec31_dec_sub26_in2_sel = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
ALU_dec31_dec_sub26_in2_sel = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
ALU_dec31_dec_sub26_in2_sel = 4'h0;
endcase
always @* begin
if (\initial ) begin end
ALU_dec31_dec_sub26_cr_in = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
ALU_dec31_dec_sub26_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
ALU_dec31_dec_sub26_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
ALU_dec31_dec_sub26_cr_in = 3'h0;
endcase
always @* begin
if (\initial ) begin end
ALU_dec31_dec_sub26_cr_out = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
ALU_dec31_dec_sub26_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
ALU_dec31_dec_sub26_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
ALU_dec31_dec_sub26_cr_out = 3'h1;
endcase
always @* begin
if (\initial ) begin end
ALU_dec31_dec_sub26_ldst_len = 4'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
ALU_dec31_dec_sub26_ldst_len = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
ALU_dec31_dec_sub26_ldst_len = 4'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
ALU_dec31_dec_sub26_ldst_len = 4'h4;
endcase
always @* begin
if (\initial ) begin end
ALU_dec31_dec_sub26_rc_sel = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
ALU_dec31_dec_sub26_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
ALU_dec31_dec_sub26_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
ALU_dec31_dec_sub26_rc_sel = 2'h2;
endcase
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "P1" *)
(* enum_value_10 = "P2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] ALU_dec31_dec_sub8_SV_Ptype;
reg [1:0] ALU_dec31_dec_sub8_SV_Ptype;
(* enum_base_type = "CRInSel" *)
(* enum_value_101 = "BC" *)
(* enum_value_110 = "WHOLE_REG" *)
(* enum_value_111 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] ALU_dec31_dec_sub8_cr_in;
reg [2:0] ALU_dec31_dec_sub8_cr_in;
(* enum_base_type = "CROutSel" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] ALU_dec31_dec_sub8_cr_out;
reg [2:0] ALU_dec31_dec_sub8_cr_out;
(* enum_base_type = "CryIn" *)
(* enum_value_00 = "ZERO" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "CA" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] ALU_dec31_dec_sub8_cry_in;
reg [1:0] ALU_dec31_dec_sub8_cry_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output ALU_dec31_dec_sub8_cry_out;
reg ALU_dec31_dec_sub8_cry_out;
(* enum_base_type = "Function" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [14:0] ALU_dec31_dec_sub8_function_unit;
reg [14:0] ALU_dec31_dec_sub8_function_unit;
(* enum_base_type = "In1Sel" *)
(* enum_value_100 = "RS" *)
(* enum_value_101 = "FRA" *)
(* enum_value_110 = "FRS" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] ALU_dec31_dec_sub8_in1_sel;
reg [2:0] ALU_dec31_dec_sub8_in1_sel;
(* enum_base_type = "In2Sel" *)
(* enum_value_1100 = "SPR" *)
(* enum_value_1101 = "RS" *)
(* enum_value_1110 = "FRB" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [3:0] ALU_dec31_dec_sub8_in2_sel;
reg [3:0] ALU_dec31_dec_sub8_in2_sel;
(* enum_base_type = "MicrOp" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [6:0] ALU_dec31_dec_sub8_internal_op;
reg [6:0] ALU_dec31_dec_sub8_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output ALU_dec31_dec_sub8_inv_a;
reg ALU_dec31_dec_sub8_inv_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output ALU_dec31_dec_sub8_inv_out;
reg ALU_dec31_dec_sub8_inv_out;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output ALU_dec31_dec_sub8_is_32b;
reg ALU_dec31_dec_sub8_is_32b;
(* enum_base_type = "LdstLen" *)
(* enum_value_0010 = "is2B" *)
(* enum_value_0100 = "is4B" *)
(* enum_value_1000 = "is8B" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [3:0] ALU_dec31_dec_sub8_ldst_len;
reg [3:0] ALU_dec31_dec_sub8_ldst_len;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] ALU_dec31_dec_sub8_rc_sel;
reg [1:0] ALU_dec31_dec_sub8_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output ALU_dec31_dec_sub8_sgn;
reg ALU_dec31_dec_sub8_sgn;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
input [31:0] opcode_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
wire [4:0] opcode_switch;
always @* begin
if (\initial ) begin end
ALU_dec31_dec_sub8_function_unit = 15'h0000;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
ALU_dec31_dec_sub8_function_unit = 15'h0002;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
ALU_dec31_dec_sub8_function_unit = 15'h0002;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
ALU_dec31_dec_sub8_function_unit = 15'h0002;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
ALU_dec31_dec_sub8_function_unit = 15'h0002;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
ALU_dec31_dec_sub8_function_unit = 15'h0002;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
ALU_dec31_dec_sub8_function_unit = 15'h0002;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
ALU_dec31_dec_sub8_function_unit = 15'h0002;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
ALU_dec31_dec_sub8_function_unit = 15'h0002;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
ALU_dec31_dec_sub8_function_unit = 15'h0002;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
ALU_dec31_dec_sub8_function_unit = 15'h0002;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
ALU_dec31_dec_sub8_function_unit = 15'h0002;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
ALU_dec31_dec_sub8_function_unit = 15'h0002;
endcase
always @* begin
if (\initial ) begin end
ALU_dec31_dec_sub8_cry_in = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
ALU_dec31_dec_sub8_cry_in = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
ALU_dec31_dec_sub8_cry_in = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
ALU_dec31_dec_sub8_cry_in = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
ALU_dec31_dec_sub8_cry_in = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
ALU_dec31_dec_sub8_cry_in = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
ALU_dec31_dec_sub8_cry_in = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
ALU_dec31_dec_sub8_cry_in = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
ALU_dec31_dec_sub8_cry_in = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
ALU_dec31_dec_sub8_cry_in = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
ALU_dec31_dec_sub8_cry_in = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
ALU_dec31_dec_sub8_cry_in = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
ALU_dec31_dec_sub8_cry_in = 2'h2;
endcase
always @* begin
if (\initial ) begin end
ALU_dec31_dec_sub8_inv_a = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
ALU_dec31_dec_sub8_inv_a = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
ALU_dec31_dec_sub8_inv_a = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
ALU_dec31_dec_sub8_inv_a = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
ALU_dec31_dec_sub8_inv_a = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
ALU_dec31_dec_sub8_inv_a = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
ALU_dec31_dec_sub8_inv_a = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
ALU_dec31_dec_sub8_inv_a = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
ALU_dec31_dec_sub8_inv_a = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
ALU_dec31_dec_sub8_inv_a = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
ALU_dec31_dec_sub8_inv_a = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
ALU_dec31_dec_sub8_inv_a = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
ALU_dec31_dec_sub8_inv_a = 1'h1;
endcase
always @* begin
if (\initial ) begin end
ALU_dec31_dec_sub8_inv_out = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
ALU_dec31_dec_sub8_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
ALU_dec31_dec_sub8_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
ALU_dec31_dec_sub8_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
ALU_dec31_dec_sub8_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
ALU_dec31_dec_sub8_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
ALU_dec31_dec_sub8_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
ALU_dec31_dec_sub8_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
ALU_dec31_dec_sub8_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
ALU_dec31_dec_sub8_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
ALU_dec31_dec_sub8_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
ALU_dec31_dec_sub8_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
ALU_dec31_dec_sub8_inv_out = 1'h0;
endcase
always @* begin
if (\initial ) begin end
ALU_dec31_dec_sub8_cry_out = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
ALU_dec31_dec_sub8_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
ALU_dec31_dec_sub8_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
ALU_dec31_dec_sub8_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
ALU_dec31_dec_sub8_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
ALU_dec31_dec_sub8_cry_out = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
ALU_dec31_dec_sub8_cry_out = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
ALU_dec31_dec_sub8_cry_out = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
ALU_dec31_dec_sub8_cry_out = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
ALU_dec31_dec_sub8_cry_out = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
ALU_dec31_dec_sub8_cry_out = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
ALU_dec31_dec_sub8_cry_out = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
ALU_dec31_dec_sub8_cry_out = 1'h1;
endcase
always @* begin
if (\initial ) begin end
ALU_dec31_dec_sub8_is_32b = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
ALU_dec31_dec_sub8_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
ALU_dec31_dec_sub8_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
ALU_dec31_dec_sub8_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
ALU_dec31_dec_sub8_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
ALU_dec31_dec_sub8_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
ALU_dec31_dec_sub8_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
ALU_dec31_dec_sub8_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
ALU_dec31_dec_sub8_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
ALU_dec31_dec_sub8_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
ALU_dec31_dec_sub8_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
ALU_dec31_dec_sub8_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
ALU_dec31_dec_sub8_is_32b = 1'h0;
endcase
always @* begin
if (\initial ) begin end
ALU_dec31_dec_sub8_sgn = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
ALU_dec31_dec_sub8_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
ALU_dec31_dec_sub8_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
ALU_dec31_dec_sub8_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
ALU_dec31_dec_sub8_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
ALU_dec31_dec_sub8_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
ALU_dec31_dec_sub8_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
ALU_dec31_dec_sub8_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
ALU_dec31_dec_sub8_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
ALU_dec31_dec_sub8_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
ALU_dec31_dec_sub8_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
ALU_dec31_dec_sub8_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
ALU_dec31_dec_sub8_sgn = 1'h0;
endcase
always @* begin
if (\initial ) begin end
ALU_dec31_dec_sub8_internal_op = 7'h00;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
ALU_dec31_dec_sub8_internal_op = 7'h02;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
ALU_dec31_dec_sub8_internal_op = 7'h02;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
ALU_dec31_dec_sub8_internal_op = 7'h02;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
ALU_dec31_dec_sub8_internal_op = 7'h02;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
ALU_dec31_dec_sub8_internal_op = 7'h02;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
ALU_dec31_dec_sub8_internal_op = 7'h02;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
ALU_dec31_dec_sub8_internal_op = 7'h02;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
ALU_dec31_dec_sub8_internal_op = 7'h02;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
ALU_dec31_dec_sub8_internal_op = 7'h02;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
ALU_dec31_dec_sub8_internal_op = 7'h02;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
ALU_dec31_dec_sub8_internal_op = 7'h02;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
ALU_dec31_dec_sub8_internal_op = 7'h02;
endcase
always @* begin
if (\initial ) begin end
ALU_dec31_dec_sub8_SV_Ptype = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
ALU_dec31_dec_sub8_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
ALU_dec31_dec_sub8_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
ALU_dec31_dec_sub8_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
ALU_dec31_dec_sub8_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
ALU_dec31_dec_sub8_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
ALU_dec31_dec_sub8_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
ALU_dec31_dec_sub8_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
ALU_dec31_dec_sub8_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
ALU_dec31_dec_sub8_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
ALU_dec31_dec_sub8_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
ALU_dec31_dec_sub8_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
ALU_dec31_dec_sub8_SV_Ptype = 2'h2;
endcase
always @* begin
if (\initial ) begin end
ALU_dec31_dec_sub8_in1_sel = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
ALU_dec31_dec_sub8_in1_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
ALU_dec31_dec_sub8_in1_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
ALU_dec31_dec_sub8_in1_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
ALU_dec31_dec_sub8_in1_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
ALU_dec31_dec_sub8_in1_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
ALU_dec31_dec_sub8_in1_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
ALU_dec31_dec_sub8_in1_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
ALU_dec31_dec_sub8_in1_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
ALU_dec31_dec_sub8_in1_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
ALU_dec31_dec_sub8_in1_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
ALU_dec31_dec_sub8_in1_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
ALU_dec31_dec_sub8_in1_sel = 3'h1;
endcase
always @* begin
if (\initial ) begin end
ALU_dec31_dec_sub8_in2_sel = 4'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
ALU_dec31_dec_sub8_in2_sel = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
ALU_dec31_dec_sub8_in2_sel = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
ALU_dec31_dec_sub8_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
ALU_dec31_dec_sub8_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
ALU_dec31_dec_sub8_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
ALU_dec31_dec_sub8_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
ALU_dec31_dec_sub8_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
ALU_dec31_dec_sub8_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
ALU_dec31_dec_sub8_in2_sel = 4'h9;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
ALU_dec31_dec_sub8_in2_sel = 4'h9;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
ALU_dec31_dec_sub8_in2_sel = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
ALU_dec31_dec_sub8_in2_sel = 4'h0;
endcase
always @* begin
if (\initial ) begin end
ALU_dec31_dec_sub8_cr_in = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
ALU_dec31_dec_sub8_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
ALU_dec31_dec_sub8_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
ALU_dec31_dec_sub8_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
ALU_dec31_dec_sub8_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
ALU_dec31_dec_sub8_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
ALU_dec31_dec_sub8_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
ALU_dec31_dec_sub8_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
ALU_dec31_dec_sub8_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
ALU_dec31_dec_sub8_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
ALU_dec31_dec_sub8_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
ALU_dec31_dec_sub8_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
ALU_dec31_dec_sub8_cr_in = 3'h0;
endcase
always @* begin
if (\initial ) begin end
ALU_dec31_dec_sub8_cr_out = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
ALU_dec31_dec_sub8_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
ALU_dec31_dec_sub8_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
ALU_dec31_dec_sub8_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
ALU_dec31_dec_sub8_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
ALU_dec31_dec_sub8_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
ALU_dec31_dec_sub8_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
ALU_dec31_dec_sub8_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
ALU_dec31_dec_sub8_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
ALU_dec31_dec_sub8_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
ALU_dec31_dec_sub8_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
ALU_dec31_dec_sub8_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
ALU_dec31_dec_sub8_cr_out = 3'h1;
endcase
always @* begin
if (\initial ) begin end
ALU_dec31_dec_sub8_ldst_len = 4'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
ALU_dec31_dec_sub8_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
ALU_dec31_dec_sub8_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
ALU_dec31_dec_sub8_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
ALU_dec31_dec_sub8_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
ALU_dec31_dec_sub8_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
ALU_dec31_dec_sub8_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
ALU_dec31_dec_sub8_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
ALU_dec31_dec_sub8_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
ALU_dec31_dec_sub8_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
ALU_dec31_dec_sub8_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
ALU_dec31_dec_sub8_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
ALU_dec31_dec_sub8_ldst_len = 4'h0;
endcase
always @* begin
if (\initial ) begin end
ALU_dec31_dec_sub8_rc_sel = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
ALU_dec31_dec_sub8_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
ALU_dec31_dec_sub8_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
ALU_dec31_dec_sub8_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
ALU_dec31_dec_sub8_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
ALU_dec31_dec_sub8_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
ALU_dec31_dec_sub8_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
ALU_dec31_dec_sub8_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
ALU_dec31_dec_sub8_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
ALU_dec31_dec_sub8_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
ALU_dec31_dec_sub8_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
ALU_dec31_dec_sub8_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
ALU_dec31_dec_sub8_rc_sel = 2'h2;
endcase
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "P1" *)
(* enum_value_10 = "P2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] BRANCH_dec19_SV_Ptype;
reg [1:0] BRANCH_dec19_SV_Ptype;
(* enum_base_type = "CRInSel" *)
(* enum_value_101 = "BC" *)
(* enum_value_110 = "WHOLE_REG" *)
(* enum_value_111 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] BRANCH_dec19_cr_in;
reg [2:0] BRANCH_dec19_cr_in;
(* enum_base_type = "CROutSel" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] BRANCH_dec19_cr_out;
reg [2:0] BRANCH_dec19_cr_out;
(* enum_base_type = "Function" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [14:0] BRANCH_dec19_function_unit;
reg [14:0] BRANCH_dec19_function_unit;
(* enum_base_type = "In2Sel" *)
(* enum_value_1100 = "SPR" *)
(* enum_value_1101 = "RS" *)
(* enum_value_1110 = "FRB" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [3:0] BRANCH_dec19_in2_sel;
reg [3:0] BRANCH_dec19_in2_sel;
(* enum_base_type = "MicrOp" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [6:0] BRANCH_dec19_internal_op;
reg [6:0] BRANCH_dec19_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output BRANCH_dec19_is_32b;
reg BRANCH_dec19_is_32b;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output BRANCH_dec19_lk;
reg BRANCH_dec19_lk;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] BRANCH_dec19_rc_sel;
reg [1:0] BRANCH_dec19_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
input [31:0] opcode_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
wire [9:0] opcode_switch;
always @* begin
if (\initial ) begin end
BRANCH_dec19_function_unit = 15'h0000;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h210:
BRANCH_dec19_function_unit = 15'h0020;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h010:
BRANCH_dec19_function_unit = 15'h0020;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h230:
BRANCH_dec19_function_unit = 15'h0020;
endcase
always @* begin
if (\initial ) begin end
BRANCH_dec19_internal_op = 7'h00;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h210:
BRANCH_dec19_internal_op = 7'h08;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h010:
BRANCH_dec19_internal_op = 7'h08;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h230:
BRANCH_dec19_internal_op = 7'h08;
endcase
always @* begin
if (\initial ) begin end
BRANCH_dec19_SV_Ptype = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h210:
BRANCH_dec19_SV_Ptype = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h010:
BRANCH_dec19_SV_Ptype = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h230:
BRANCH_dec19_SV_Ptype = 2'h0;
endcase
always @* begin
if (\initial ) begin end
BRANCH_dec19_in2_sel = 4'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h210:
BRANCH_dec19_in2_sel = 4'hc;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h010:
BRANCH_dec19_in2_sel = 4'hc;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h230:
BRANCH_dec19_in2_sel = 4'hc;
endcase
always @* begin
if (\initial ) begin end
BRANCH_dec19_cr_in = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h210:
BRANCH_dec19_cr_in = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h010:
BRANCH_dec19_cr_in = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h230:
BRANCH_dec19_cr_in = 3'h2;
endcase
always @* begin
if (\initial ) begin end
BRANCH_dec19_cr_out = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h210:
BRANCH_dec19_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h010:
BRANCH_dec19_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h230:
BRANCH_dec19_cr_out = 3'h0;
endcase
always @* begin
if (\initial ) begin end
BRANCH_dec19_rc_sel = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h210:
BRANCH_dec19_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h010:
BRANCH_dec19_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h230:
BRANCH_dec19_rc_sel = 2'h0;
endcase
always @* begin
if (\initial ) begin end
BRANCH_dec19_is_32b = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h210:
BRANCH_dec19_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h010:
BRANCH_dec19_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h230:
BRANCH_dec19_is_32b = 1'h0;
endcase
always @* begin
if (\initial ) begin end
BRANCH_dec19_lk = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h210:
BRANCH_dec19_lk = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h010:
BRANCH_dec19_lk = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h230:
BRANCH_dec19_lk = 1'h1;
endcase
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "P1" *)
(* enum_value_10 = "P2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] CR_dec19_SV_Ptype;
reg [1:0] CR_dec19_SV_Ptype;
(* enum_base_type = "CRInSel" *)
(* enum_value_101 = "BC" *)
(* enum_value_110 = "WHOLE_REG" *)
(* enum_value_111 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] CR_dec19_cr_in;
reg [2:0] CR_dec19_cr_in;
(* enum_base_type = "CROutSel" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] CR_dec19_cr_out;
reg [2:0] CR_dec19_cr_out;
(* enum_base_type = "Function" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [14:0] CR_dec19_function_unit;
reg [14:0] CR_dec19_function_unit;
(* enum_base_type = "MicrOp" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [6:0] CR_dec19_internal_op;
reg [6:0] CR_dec19_internal_op;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] CR_dec19_rc_sel;
reg [1:0] CR_dec19_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
input [31:0] opcode_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
wire [9:0] opcode_switch;
always @* begin
if (\initial ) begin end
CR_dec19_function_unit = 15'h0000;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h000:
CR_dec19_function_unit = 15'h0040;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h101:
CR_dec19_function_unit = 15'h0040;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h081:
CR_dec19_function_unit = 15'h0040;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h121:
CR_dec19_function_unit = 15'h0040;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h0e1:
CR_dec19_function_unit = 15'h0040;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h021:
CR_dec19_function_unit = 15'h0040;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h1c1:
CR_dec19_function_unit = 15'h0040;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h1a1:
CR_dec19_function_unit = 15'h0040;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h0c1:
CR_dec19_function_unit = 15'h0040;
endcase
always @* begin
if (\initial ) begin end
CR_dec19_internal_op = 7'h00;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h000:
CR_dec19_internal_op = 7'h2a;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h101:
CR_dec19_internal_op = 7'h45;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h081:
CR_dec19_internal_op = 7'h45;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h121:
CR_dec19_internal_op = 7'h45;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h0e1:
CR_dec19_internal_op = 7'h45;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h021:
CR_dec19_internal_op = 7'h45;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h1c1:
CR_dec19_internal_op = 7'h45;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h1a1:
CR_dec19_internal_op = 7'h45;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h0c1:
CR_dec19_internal_op = 7'h45;
endcase
always @* begin
if (\initial ) begin end
CR_dec19_SV_Ptype = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h000:
CR_dec19_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h101:
CR_dec19_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h081:
CR_dec19_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h121:
CR_dec19_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h0e1:
CR_dec19_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h021:
CR_dec19_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h1c1:
CR_dec19_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h1a1:
CR_dec19_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h0c1:
CR_dec19_SV_Ptype = 2'h1;
endcase
always @* begin
if (\initial ) begin end
CR_dec19_cr_in = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h000:
CR_dec19_cr_in = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h101:
CR_dec19_cr_in = 3'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h081:
CR_dec19_cr_in = 3'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h121:
CR_dec19_cr_in = 3'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h0e1:
CR_dec19_cr_in = 3'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h021:
CR_dec19_cr_in = 3'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h1c1:
CR_dec19_cr_in = 3'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h1a1:
CR_dec19_cr_in = 3'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h0c1:
CR_dec19_cr_in = 3'h4;
endcase
always @* begin
if (\initial ) begin end
CR_dec19_cr_out = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h000:
CR_dec19_cr_out = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h101:
CR_dec19_cr_out = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h081:
CR_dec19_cr_out = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h121:
CR_dec19_cr_out = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h0e1:
CR_dec19_cr_out = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h021:
CR_dec19_cr_out = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h1c1:
CR_dec19_cr_out = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h1a1:
CR_dec19_cr_out = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h0c1:
CR_dec19_cr_out = 3'h3;
endcase
always @* begin
if (\initial ) begin end
CR_dec19_rc_sel = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h000:
CR_dec19_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h101:
CR_dec19_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h081:
CR_dec19_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h121:
CR_dec19_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h0e1:
CR_dec19_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h021:
CR_dec19_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h1c1:
CR_dec19_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h1a1:
CR_dec19_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h0c1:
CR_dec19_rc_sel = 2'h0;
endcase
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "P1" *)
(* enum_value_10 = "P2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] CR_dec31_SV_Ptype;
reg [1:0] CR_dec31_SV_Ptype;
(* enum_base_type = "CRInSel" *)
(* enum_value_101 = "BC" *)
(* enum_value_110 = "WHOLE_REG" *)
(* enum_value_111 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] CR_dec31_cr_in;
reg [2:0] CR_dec31_cr_in;
(* enum_base_type = "CROutSel" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] CR_dec31_cr_out;
reg [2:0] CR_dec31_cr_out;
(* enum_base_type = "SVPtype" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "P1" *)
(* enum_value_10 = "P2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] CR_dec31_dec_sub0_CR_dec31_dec_sub0_SV_Ptype;
(* enum_base_type = "CRInSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_101 = "BC" *)
(* enum_value_110 = "WHOLE_REG" *)
(* enum_value_111 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] CR_dec31_dec_sub0_CR_dec31_dec_sub0_cr_in;
(* enum_base_type = "CROutSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] CR_dec31_dec_sub0_CR_dec31_dec_sub0_cr_out;
(* enum_base_type = "Function" *)
(* enum_value_000000000000000 = "NONE" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [14:0] CR_dec31_dec_sub0_CR_dec31_dec_sub0_function_unit;
(* enum_base_type = "MicrOp" *)
(* enum_value_0000000 = "OP_ILLEGAL" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [6:0] CR_dec31_dec_sub0_CR_dec31_dec_sub0_internal_op;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] CR_dec31_dec_sub0_CR_dec31_dec_sub0_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
wire [31:0] CR_dec31_dec_sub0_opcode_in;
(* enum_base_type = "SVPtype" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "P1" *)
(* enum_value_10 = "P2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] CR_dec31_dec_sub15_CR_dec31_dec_sub15_SV_Ptype;
(* enum_base_type = "CRInSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_101 = "BC" *)
(* enum_value_110 = "WHOLE_REG" *)
(* enum_value_111 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] CR_dec31_dec_sub15_CR_dec31_dec_sub15_cr_in;
(* enum_base_type = "CROutSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] CR_dec31_dec_sub15_CR_dec31_dec_sub15_cr_out;
(* enum_base_type = "Function" *)
(* enum_value_000000000000000 = "NONE" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [14:0] CR_dec31_dec_sub15_CR_dec31_dec_sub15_function_unit;
(* enum_base_type = "MicrOp" *)
(* enum_value_0000000 = "OP_ILLEGAL" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [6:0] CR_dec31_dec_sub15_CR_dec31_dec_sub15_internal_op;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] CR_dec31_dec_sub15_CR_dec31_dec_sub15_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
wire [31:0] CR_dec31_dec_sub15_opcode_in;
(* enum_base_type = "SVPtype" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "P1" *)
(* enum_value_10 = "P2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] CR_dec31_dec_sub16_CR_dec31_dec_sub16_SV_Ptype;
(* enum_base_type = "CRInSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_101 = "BC" *)
(* enum_value_110 = "WHOLE_REG" *)
(* enum_value_111 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] CR_dec31_dec_sub16_CR_dec31_dec_sub16_cr_in;
(* enum_base_type = "CROutSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] CR_dec31_dec_sub16_CR_dec31_dec_sub16_cr_out;
(* enum_base_type = "Function" *)
(* enum_value_000000000000000 = "NONE" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [14:0] CR_dec31_dec_sub16_CR_dec31_dec_sub16_function_unit;
(* enum_base_type = "MicrOp" *)
(* enum_value_0000000 = "OP_ILLEGAL" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [6:0] CR_dec31_dec_sub16_CR_dec31_dec_sub16_internal_op;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] CR_dec31_dec_sub16_CR_dec31_dec_sub16_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
wire [31:0] CR_dec31_dec_sub16_opcode_in;
(* enum_base_type = "SVPtype" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "P1" *)
(* enum_value_10 = "P2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] CR_dec31_dec_sub19_CR_dec31_dec_sub19_SV_Ptype;
(* enum_base_type = "CRInSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_101 = "BC" *)
(* enum_value_110 = "WHOLE_REG" *)
(* enum_value_111 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] CR_dec31_dec_sub19_CR_dec31_dec_sub19_cr_in;
(* enum_base_type = "CROutSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] CR_dec31_dec_sub19_CR_dec31_dec_sub19_cr_out;
(* enum_base_type = "Function" *)
(* enum_value_000000000000000 = "NONE" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [14:0] CR_dec31_dec_sub19_CR_dec31_dec_sub19_function_unit;
(* enum_base_type = "MicrOp" *)
(* enum_value_0000000 = "OP_ILLEGAL" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [6:0] CR_dec31_dec_sub19_CR_dec31_dec_sub19_internal_op;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] CR_dec31_dec_sub19_CR_dec31_dec_sub19_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
wire [31:0] CR_dec31_dec_sub19_opcode_in;
(* enum_base_type = "Function" *)
(* enum_value_000000000000000 = "NONE" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [14:0] CR_dec31_function_unit;
reg [14:0] CR_dec31_function_unit;
(* enum_base_type = "MicrOp" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [6:0] CR_dec31_internal_op;
reg [6:0] CR_dec31_internal_op;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] CR_dec31_rc_sel;
reg [1:0] CR_dec31_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:355" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:357" *)
wire [4:0] opc_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
input [31:0] opcode_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
wire [9:0] opcode_switch;
CR_dec31_dec_sub0 CR_dec31_dec_sub0 (
.CR_dec31_dec_sub0_SV_Ptype(CR_dec31_dec_sub0_CR_dec31_dec_sub0_SV_Ptype),
always @* begin
if (\initial ) begin end
CR_dec31_cr_out = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opc_in)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
CR_dec31_cr_out = CR_dec31_dec_sub0_CR_dec31_dec_sub0_cr_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
CR_dec31_cr_out = CR_dec31_dec_sub19_CR_dec31_dec_sub19_cr_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
CR_dec31_cr_out = CR_dec31_dec_sub15_CR_dec31_dec_sub15_cr_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
CR_dec31_cr_out = CR_dec31_dec_sub16_CR_dec31_dec_sub16_cr_out;
endcase
always @* begin
if (\initial ) begin end
CR_dec31_rc_sel = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opc_in)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
CR_dec31_rc_sel = CR_dec31_dec_sub0_CR_dec31_dec_sub0_rc_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
CR_dec31_rc_sel = CR_dec31_dec_sub19_CR_dec31_dec_sub19_rc_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
CR_dec31_rc_sel = CR_dec31_dec_sub15_CR_dec31_dec_sub15_rc_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
CR_dec31_rc_sel = CR_dec31_dec_sub16_CR_dec31_dec_sub16_rc_sel;
endcase
always @* begin
if (\initial ) begin end
CR_dec31_function_unit = 15'h0000;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opc_in)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
CR_dec31_function_unit = CR_dec31_dec_sub0_CR_dec31_dec_sub0_function_unit;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
CR_dec31_function_unit = CR_dec31_dec_sub19_CR_dec31_dec_sub19_function_unit;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
CR_dec31_function_unit = CR_dec31_dec_sub15_CR_dec31_dec_sub15_function_unit;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
CR_dec31_function_unit = CR_dec31_dec_sub16_CR_dec31_dec_sub16_function_unit;
endcase
always @* begin
if (\initial ) begin end
CR_dec31_internal_op = 7'h00;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opc_in)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
CR_dec31_internal_op = CR_dec31_dec_sub0_CR_dec31_dec_sub0_internal_op;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
CR_dec31_internal_op = CR_dec31_dec_sub19_CR_dec31_dec_sub19_internal_op;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
CR_dec31_internal_op = CR_dec31_dec_sub15_CR_dec31_dec_sub15_internal_op;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
CR_dec31_internal_op = CR_dec31_dec_sub16_CR_dec31_dec_sub16_internal_op;
endcase
always @* begin
if (\initial ) begin end
CR_dec31_SV_Ptype = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opc_in)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
CR_dec31_SV_Ptype = CR_dec31_dec_sub0_CR_dec31_dec_sub0_SV_Ptype;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
CR_dec31_SV_Ptype = CR_dec31_dec_sub19_CR_dec31_dec_sub19_SV_Ptype;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
CR_dec31_SV_Ptype = CR_dec31_dec_sub15_CR_dec31_dec_sub15_SV_Ptype;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
CR_dec31_SV_Ptype = CR_dec31_dec_sub16_CR_dec31_dec_sub16_SV_Ptype;
endcase
always @* begin
if (\initial ) begin end
CR_dec31_cr_in = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opc_in)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
CR_dec31_cr_in = CR_dec31_dec_sub0_CR_dec31_dec_sub0_cr_in;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
CR_dec31_cr_in = CR_dec31_dec_sub19_CR_dec31_dec_sub19_cr_in;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
CR_dec31_cr_in = CR_dec31_dec_sub15_CR_dec31_dec_sub15_cr_in;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
CR_dec31_cr_in = CR_dec31_dec_sub16_CR_dec31_dec_sub16_cr_in;
endcase
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "P1" *)
(* enum_value_10 = "P2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] CR_dec31_dec_sub0_SV_Ptype;
reg [1:0] CR_dec31_dec_sub0_SV_Ptype;
(* enum_base_type = "CRInSel" *)
(* enum_value_101 = "BC" *)
(* enum_value_110 = "WHOLE_REG" *)
(* enum_value_111 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] CR_dec31_dec_sub0_cr_in;
reg [2:0] CR_dec31_dec_sub0_cr_in;
(* enum_base_type = "CROutSel" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] CR_dec31_dec_sub0_cr_out;
reg [2:0] CR_dec31_dec_sub0_cr_out;
(* enum_base_type = "Function" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [14:0] CR_dec31_dec_sub0_function_unit;
reg [14:0] CR_dec31_dec_sub0_function_unit;
(* enum_base_type = "MicrOp" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [6:0] CR_dec31_dec_sub0_internal_op;
reg [6:0] CR_dec31_dec_sub0_internal_op;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] CR_dec31_dec_sub0_rc_sel;
reg [1:0] CR_dec31_dec_sub0_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
input [31:0] opcode_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
wire [4:0] opcode_switch;
always @* begin
if (\initial ) begin end
CR_dec31_dec_sub0_function_unit = 15'h0000;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
CR_dec31_dec_sub0_function_unit = 15'h0040;
endcase
always @* begin
if (\initial ) begin end
CR_dec31_dec_sub0_internal_op = 7'h00;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
CR_dec31_dec_sub0_internal_op = 7'h3b;
endcase
always @* begin
if (\initial ) begin end
CR_dec31_dec_sub0_SV_Ptype = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
CR_dec31_dec_sub0_SV_Ptype = 2'h2;
endcase
always @* begin
if (\initial ) begin end
CR_dec31_dec_sub0_cr_in = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
CR_dec31_dec_sub0_cr_in = 3'h3;
endcase
always @* begin
if (\initial ) begin end
CR_dec31_dec_sub0_cr_out = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
CR_dec31_dec_sub0_cr_out = 3'h0;
endcase
always @* begin
if (\initial ) begin end
CR_dec31_dec_sub0_rc_sel = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
CR_dec31_dec_sub0_rc_sel = 2'h0;
endcase
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "P1" *)
(* enum_value_10 = "P2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] CR_dec31_dec_sub15_SV_Ptype;
reg [1:0] CR_dec31_dec_sub15_SV_Ptype;
(* enum_base_type = "CRInSel" *)
(* enum_value_101 = "BC" *)
(* enum_value_110 = "WHOLE_REG" *)
(* enum_value_111 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] CR_dec31_dec_sub15_cr_in;
reg [2:0] CR_dec31_dec_sub15_cr_in;
(* enum_base_type = "CROutSel" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] CR_dec31_dec_sub15_cr_out;
reg [2:0] CR_dec31_dec_sub15_cr_out;
(* enum_base_type = "Function" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [14:0] CR_dec31_dec_sub15_function_unit;
reg [14:0] CR_dec31_dec_sub15_function_unit;
(* enum_base_type = "MicrOp" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [6:0] CR_dec31_dec_sub15_internal_op;
reg [6:0] CR_dec31_dec_sub15_internal_op;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] CR_dec31_dec_sub15_rc_sel;
reg [1:0] CR_dec31_dec_sub15_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
input [31:0] opcode_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
wire [4:0] opcode_switch;
always @* begin
if (\initial ) begin end
(* full_case = 32'd1 *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
CR_dec31_dec_sub15_function_unit = 15'h0040;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
CR_dec31_dec_sub15_function_unit = 15'h0040;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
CR_dec31_dec_sub15_function_unit = 15'h0040;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
CR_dec31_dec_sub15_function_unit = 15'h0040;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
CR_dec31_dec_sub15_function_unit = 15'h0040;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
CR_dec31_dec_sub15_function_unit = 15'h0040;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
CR_dec31_dec_sub15_function_unit = 15'h0040;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
CR_dec31_dec_sub15_function_unit = 15'h0040;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
CR_dec31_dec_sub15_function_unit = 15'h0040;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
CR_dec31_dec_sub15_function_unit = 15'h0040;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
CR_dec31_dec_sub15_function_unit = 15'h0040;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
CR_dec31_dec_sub15_function_unit = 15'h0040;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
CR_dec31_dec_sub15_function_unit = 15'h0040;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
CR_dec31_dec_sub15_function_unit = 15'h0040;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
CR_dec31_dec_sub15_function_unit = 15'h0040;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
CR_dec31_dec_sub15_function_unit = 15'h0040;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
CR_dec31_dec_sub15_function_unit = 15'h0040;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
CR_dec31_dec_sub15_function_unit = 15'h0040;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
CR_dec31_dec_sub15_function_unit = 15'h0040;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
CR_dec31_dec_sub15_function_unit = 15'h0040;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
CR_dec31_dec_sub15_function_unit = 15'h0040;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
CR_dec31_dec_sub15_function_unit = 15'h0040;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
CR_dec31_dec_sub15_function_unit = 15'h0040;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
CR_dec31_dec_sub15_function_unit = 15'h0040;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
CR_dec31_dec_sub15_function_unit = 15'h0040;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
CR_dec31_dec_sub15_function_unit = 15'h0040;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
CR_dec31_dec_sub15_function_unit = 15'h0040;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
CR_dec31_dec_sub15_function_unit = 15'h0040;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
CR_dec31_dec_sub15_function_unit = 15'h0040;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
CR_dec31_dec_sub15_function_unit = 15'h0040;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
CR_dec31_dec_sub15_function_unit = 15'h0040;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
CR_dec31_dec_sub15_function_unit = 15'h0040;
endcase
always @* begin
if (\initial ) begin end
(* full_case = 32'd1 *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
CR_dec31_dec_sub15_internal_op = 7'h23;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
CR_dec31_dec_sub15_internal_op = 7'h23;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
CR_dec31_dec_sub15_internal_op = 7'h23;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
CR_dec31_dec_sub15_internal_op = 7'h23;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
CR_dec31_dec_sub15_internal_op = 7'h23;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
CR_dec31_dec_sub15_internal_op = 7'h23;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
CR_dec31_dec_sub15_internal_op = 7'h23;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
CR_dec31_dec_sub15_internal_op = 7'h23;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
CR_dec31_dec_sub15_internal_op = 7'h23;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
CR_dec31_dec_sub15_internal_op = 7'h23;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
CR_dec31_dec_sub15_internal_op = 7'h23;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
CR_dec31_dec_sub15_internal_op = 7'h23;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
CR_dec31_dec_sub15_internal_op = 7'h23;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
CR_dec31_dec_sub15_internal_op = 7'h23;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
CR_dec31_dec_sub15_internal_op = 7'h23;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
CR_dec31_dec_sub15_internal_op = 7'h23;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
CR_dec31_dec_sub15_internal_op = 7'h23;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
CR_dec31_dec_sub15_internal_op = 7'h23;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
CR_dec31_dec_sub15_internal_op = 7'h23;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
CR_dec31_dec_sub15_internal_op = 7'h23;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
CR_dec31_dec_sub15_internal_op = 7'h23;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
CR_dec31_dec_sub15_internal_op = 7'h23;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
CR_dec31_dec_sub15_internal_op = 7'h23;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
CR_dec31_dec_sub15_internal_op = 7'h23;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
CR_dec31_dec_sub15_internal_op = 7'h23;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
CR_dec31_dec_sub15_internal_op = 7'h23;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
CR_dec31_dec_sub15_internal_op = 7'h23;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
CR_dec31_dec_sub15_internal_op = 7'h23;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
CR_dec31_dec_sub15_internal_op = 7'h23;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
CR_dec31_dec_sub15_internal_op = 7'h23;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
CR_dec31_dec_sub15_internal_op = 7'h23;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
CR_dec31_dec_sub15_internal_op = 7'h23;
endcase
always @* begin
if (\initial ) begin end
(* full_case = 32'd1 *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
CR_dec31_dec_sub15_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
CR_dec31_dec_sub15_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
CR_dec31_dec_sub15_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
CR_dec31_dec_sub15_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
CR_dec31_dec_sub15_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
CR_dec31_dec_sub15_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
CR_dec31_dec_sub15_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
CR_dec31_dec_sub15_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
CR_dec31_dec_sub15_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
CR_dec31_dec_sub15_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
CR_dec31_dec_sub15_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
CR_dec31_dec_sub15_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
CR_dec31_dec_sub15_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
CR_dec31_dec_sub15_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
CR_dec31_dec_sub15_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
CR_dec31_dec_sub15_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
CR_dec31_dec_sub15_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
CR_dec31_dec_sub15_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
CR_dec31_dec_sub15_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
CR_dec31_dec_sub15_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
CR_dec31_dec_sub15_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
CR_dec31_dec_sub15_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
CR_dec31_dec_sub15_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
CR_dec31_dec_sub15_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
CR_dec31_dec_sub15_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
CR_dec31_dec_sub15_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
CR_dec31_dec_sub15_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
CR_dec31_dec_sub15_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
CR_dec31_dec_sub15_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
CR_dec31_dec_sub15_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
CR_dec31_dec_sub15_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
CR_dec31_dec_sub15_SV_Ptype = 2'h1;
endcase
always @* begin
if (\initial ) begin end
(* full_case = 32'd1 *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
CR_dec31_dec_sub15_cr_in = 3'h5;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
CR_dec31_dec_sub15_cr_in = 3'h5;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
CR_dec31_dec_sub15_cr_in = 3'h5;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
CR_dec31_dec_sub15_cr_in = 3'h5;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
CR_dec31_dec_sub15_cr_in = 3'h5;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
CR_dec31_dec_sub15_cr_in = 3'h5;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
CR_dec31_dec_sub15_cr_in = 3'h5;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
CR_dec31_dec_sub15_cr_in = 3'h5;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
CR_dec31_dec_sub15_cr_in = 3'h5;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
CR_dec31_dec_sub15_cr_in = 3'h5;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
CR_dec31_dec_sub15_cr_in = 3'h5;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
CR_dec31_dec_sub15_cr_in = 3'h5;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
CR_dec31_dec_sub15_cr_in = 3'h5;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
CR_dec31_dec_sub15_cr_in = 3'h5;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
CR_dec31_dec_sub15_cr_in = 3'h5;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
CR_dec31_dec_sub15_cr_in = 3'h5;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
CR_dec31_dec_sub15_cr_in = 3'h5;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
CR_dec31_dec_sub15_cr_in = 3'h5;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
CR_dec31_dec_sub15_cr_in = 3'h5;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
CR_dec31_dec_sub15_cr_in = 3'h5;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
CR_dec31_dec_sub15_cr_in = 3'h5;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
CR_dec31_dec_sub15_cr_in = 3'h5;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
CR_dec31_dec_sub15_cr_in = 3'h5;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
CR_dec31_dec_sub15_cr_in = 3'h5;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
CR_dec31_dec_sub15_cr_in = 3'h5;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
CR_dec31_dec_sub15_cr_in = 3'h5;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
CR_dec31_dec_sub15_cr_in = 3'h5;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
CR_dec31_dec_sub15_cr_in = 3'h5;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
CR_dec31_dec_sub15_cr_in = 3'h5;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
CR_dec31_dec_sub15_cr_in = 3'h5;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
CR_dec31_dec_sub15_cr_in = 3'h5;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
CR_dec31_dec_sub15_cr_in = 3'h5;
endcase
always @* begin
if (\initial ) begin end
(* full_case = 32'd1 *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
CR_dec31_dec_sub15_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
CR_dec31_dec_sub15_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
CR_dec31_dec_sub15_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
CR_dec31_dec_sub15_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
CR_dec31_dec_sub15_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
CR_dec31_dec_sub15_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
CR_dec31_dec_sub15_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
CR_dec31_dec_sub15_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
CR_dec31_dec_sub15_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
CR_dec31_dec_sub15_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
CR_dec31_dec_sub15_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
CR_dec31_dec_sub15_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
CR_dec31_dec_sub15_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
CR_dec31_dec_sub15_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
CR_dec31_dec_sub15_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
CR_dec31_dec_sub15_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
CR_dec31_dec_sub15_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
CR_dec31_dec_sub15_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
CR_dec31_dec_sub15_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
CR_dec31_dec_sub15_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
CR_dec31_dec_sub15_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
CR_dec31_dec_sub15_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
CR_dec31_dec_sub15_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
CR_dec31_dec_sub15_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
CR_dec31_dec_sub15_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
CR_dec31_dec_sub15_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
CR_dec31_dec_sub15_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
CR_dec31_dec_sub15_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
CR_dec31_dec_sub15_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
CR_dec31_dec_sub15_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
CR_dec31_dec_sub15_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
CR_dec31_dec_sub15_cr_out = 3'h0;
endcase
always @* begin
if (\initial ) begin end
(* full_case = 32'd1 *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
CR_dec31_dec_sub15_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
CR_dec31_dec_sub15_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
CR_dec31_dec_sub15_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
CR_dec31_dec_sub15_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
CR_dec31_dec_sub15_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
CR_dec31_dec_sub15_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
CR_dec31_dec_sub15_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
CR_dec31_dec_sub15_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
CR_dec31_dec_sub15_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
CR_dec31_dec_sub15_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
CR_dec31_dec_sub15_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
CR_dec31_dec_sub15_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
CR_dec31_dec_sub15_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
CR_dec31_dec_sub15_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
CR_dec31_dec_sub15_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
CR_dec31_dec_sub15_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
CR_dec31_dec_sub15_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
CR_dec31_dec_sub15_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
CR_dec31_dec_sub15_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
CR_dec31_dec_sub15_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
CR_dec31_dec_sub15_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
CR_dec31_dec_sub15_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
CR_dec31_dec_sub15_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
CR_dec31_dec_sub15_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
CR_dec31_dec_sub15_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
CR_dec31_dec_sub15_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
CR_dec31_dec_sub15_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
CR_dec31_dec_sub15_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
CR_dec31_dec_sub15_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
CR_dec31_dec_sub15_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
CR_dec31_dec_sub15_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
CR_dec31_dec_sub15_rc_sel = 2'h0;
endcase
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "P1" *)
(* enum_value_10 = "P2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] CR_dec31_dec_sub16_SV_Ptype;
reg [1:0] CR_dec31_dec_sub16_SV_Ptype;
(* enum_base_type = "CRInSel" *)
(* enum_value_101 = "BC" *)
(* enum_value_110 = "WHOLE_REG" *)
(* enum_value_111 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] CR_dec31_dec_sub16_cr_in;
reg [2:0] CR_dec31_dec_sub16_cr_in;
(* enum_base_type = "CROutSel" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] CR_dec31_dec_sub16_cr_out;
reg [2:0] CR_dec31_dec_sub16_cr_out;
(* enum_base_type = "Function" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [14:0] CR_dec31_dec_sub16_function_unit;
reg [14:0] CR_dec31_dec_sub16_function_unit;
(* enum_base_type = "MicrOp" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [6:0] CR_dec31_dec_sub16_internal_op;
reg [6:0] CR_dec31_dec_sub16_internal_op;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] CR_dec31_dec_sub16_rc_sel;
reg [1:0] CR_dec31_dec_sub16_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
input [31:0] opcode_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
wire [4:0] opcode_switch;
always @* begin
if (\initial ) begin end
CR_dec31_dec_sub16_function_unit = 15'h0000;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
CR_dec31_dec_sub16_function_unit = 15'h0040;
endcase
always @* begin
if (\initial ) begin end
CR_dec31_dec_sub16_internal_op = 7'h00;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
CR_dec31_dec_sub16_internal_op = 7'h30;
endcase
always @* begin
if (\initial ) begin end
CR_dec31_dec_sub16_SV_Ptype = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
CR_dec31_dec_sub16_SV_Ptype = 2'h2;
endcase
always @* begin
if (\initial ) begin end
CR_dec31_dec_sub16_cr_in = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
CR_dec31_dec_sub16_cr_in = 3'h6;
endcase
always @* begin
if (\initial ) begin end
CR_dec31_dec_sub16_cr_out = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
CR_dec31_dec_sub16_cr_out = 3'h4;
endcase
always @* begin
if (\initial ) begin end
CR_dec31_dec_sub16_rc_sel = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
CR_dec31_dec_sub16_rc_sel = 2'h0;
endcase
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "P1" *)
(* enum_value_10 = "P2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] CR_dec31_dec_sub19_SV_Ptype;
reg [1:0] CR_dec31_dec_sub19_SV_Ptype;
(* enum_base_type = "CRInSel" *)
(* enum_value_101 = "BC" *)
(* enum_value_110 = "WHOLE_REG" *)
(* enum_value_111 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] CR_dec31_dec_sub19_cr_in;
reg [2:0] CR_dec31_dec_sub19_cr_in;
(* enum_base_type = "CROutSel" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] CR_dec31_dec_sub19_cr_out;
reg [2:0] CR_dec31_dec_sub19_cr_out;
(* enum_base_type = "Function" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [14:0] CR_dec31_dec_sub19_function_unit;
reg [14:0] CR_dec31_dec_sub19_function_unit;
(* enum_base_type = "MicrOp" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [6:0] CR_dec31_dec_sub19_internal_op;
reg [6:0] CR_dec31_dec_sub19_internal_op;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] CR_dec31_dec_sub19_rc_sel;
reg [1:0] CR_dec31_dec_sub19_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
input [31:0] opcode_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
wire [4:0] opcode_switch;
always @* begin
if (\initial ) begin end
CR_dec31_dec_sub19_function_unit = 15'h0000;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
CR_dec31_dec_sub19_function_unit = 15'h0040;
endcase
always @* begin
if (\initial ) begin end
CR_dec31_dec_sub19_internal_op = 7'h00;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
CR_dec31_dec_sub19_internal_op = 7'h2d;
endcase
always @* begin
if (\initial ) begin end
CR_dec31_dec_sub19_SV_Ptype = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
CR_dec31_dec_sub19_SV_Ptype = 2'h2;
endcase
always @* begin
if (\initial ) begin end
CR_dec31_dec_sub19_cr_in = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
CR_dec31_dec_sub19_cr_in = 3'h6;
endcase
always @* begin
if (\initial ) begin end
CR_dec31_dec_sub19_cr_out = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
CR_dec31_dec_sub19_cr_out = 3'h0;
endcase
always @* begin
if (\initial ) begin end
CR_dec31_dec_sub19_rc_sel = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
CR_dec31_dec_sub19_rc_sel = 2'h0;
endcase
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "P1" *)
(* enum_value_10 = "P2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] DIV_dec31_SV_Ptype;
reg [1:0] DIV_dec31_SV_Ptype;
(* enum_base_type = "CRInSel" *)
(* enum_value_101 = "BC" *)
(* enum_value_110 = "WHOLE_REG" *)
(* enum_value_111 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] DIV_dec31_cr_in;
reg [2:0] DIV_dec31_cr_in;
(* enum_base_type = "CROutSel" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] DIV_dec31_cr_out;
reg [2:0] DIV_dec31_cr_out;
(* enum_base_type = "CryIn" *)
(* enum_value_00 = "ZERO" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "CA" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] DIV_dec31_cry_in;
reg [1:0] DIV_dec31_cry_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output DIV_dec31_cry_out;
reg DIV_dec31_cry_out;
(* enum_base_type = "SVPtype" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "P1" *)
(* enum_value_10 = "P2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] DIV_dec31_dec_sub11_DIV_dec31_dec_sub11_SV_Ptype;
(* enum_base_type = "CRInSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_101 = "BC" *)
(* enum_value_110 = "WHOLE_REG" *)
(* enum_value_111 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] DIV_dec31_dec_sub11_DIV_dec31_dec_sub11_cr_in;
(* enum_base_type = "CROutSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] DIV_dec31_dec_sub11_DIV_dec31_dec_sub11_cr_out;
(* enum_base_type = "CryIn" *)
(* enum_value_00 = "ZERO" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "CA" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] DIV_dec31_dec_sub11_DIV_dec31_dec_sub11_cry_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire DIV_dec31_dec_sub11_DIV_dec31_dec_sub11_cry_out;
(* enum_base_type = "Function" *)
(* enum_value_000000000000000 = "NONE" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [14:0] DIV_dec31_dec_sub11_DIV_dec31_dec_sub11_function_unit;
(* enum_base_type = "In1Sel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_100 = "RS" *)
(* enum_value_101 = "FRA" *)
(* enum_value_110 = "FRS" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] DIV_dec31_dec_sub11_DIV_dec31_dec_sub11_in1_sel;
(* enum_base_type = "In2Sel" *)
(* enum_value_0000 = "NONE" *)
(* enum_value_1100 = "SPR" *)
(* enum_value_1101 = "RS" *)
(* enum_value_1110 = "FRB" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [3:0] DIV_dec31_dec_sub11_DIV_dec31_dec_sub11_in2_sel;
(* enum_base_type = "MicrOp" *)
(* enum_value_0000000 = "OP_ILLEGAL" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [6:0] DIV_dec31_dec_sub11_DIV_dec31_dec_sub11_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire DIV_dec31_dec_sub11_DIV_dec31_dec_sub11_inv_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire DIV_dec31_dec_sub11_DIV_dec31_dec_sub11_inv_out;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire DIV_dec31_dec_sub11_DIV_dec31_dec_sub11_is_32b;
(* enum_base_type = "LdstLen" *)
(* enum_value_0000 = "NONE" *)
(* enum_value_0010 = "is2B" *)
(* enum_value_0100 = "is4B" *)
(* enum_value_1000 = "is8B" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [3:0] DIV_dec31_dec_sub11_DIV_dec31_dec_sub11_ldst_len;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] DIV_dec31_dec_sub11_DIV_dec31_dec_sub11_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire DIV_dec31_dec_sub11_DIV_dec31_dec_sub11_sgn;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
wire [31:0] DIV_dec31_dec_sub11_opcode_in;
(* enum_base_type = "SVPtype" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "P1" *)
(* enum_value_10 = "P2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] DIV_dec31_dec_sub9_DIV_dec31_dec_sub9_SV_Ptype;
(* enum_base_type = "CRInSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_101 = "BC" *)
(* enum_value_110 = "WHOLE_REG" *)
(* enum_value_111 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] DIV_dec31_dec_sub9_DIV_dec31_dec_sub9_cr_in;
(* enum_base_type = "CROutSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] DIV_dec31_dec_sub9_DIV_dec31_dec_sub9_cr_out;
(* enum_base_type = "CryIn" *)
(* enum_value_00 = "ZERO" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "CA" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] DIV_dec31_dec_sub9_DIV_dec31_dec_sub9_cry_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire DIV_dec31_dec_sub9_DIV_dec31_dec_sub9_cry_out;
(* enum_base_type = "Function" *)
(* enum_value_000000000000000 = "NONE" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [14:0] DIV_dec31_dec_sub9_DIV_dec31_dec_sub9_function_unit;
(* enum_base_type = "In1Sel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_100 = "RS" *)
(* enum_value_101 = "FRA" *)
(* enum_value_110 = "FRS" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] DIV_dec31_dec_sub9_DIV_dec31_dec_sub9_in1_sel;
(* enum_base_type = "In2Sel" *)
(* enum_value_0000 = "NONE" *)
(* enum_value_1100 = "SPR" *)
(* enum_value_1101 = "RS" *)
(* enum_value_1110 = "FRB" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [3:0] DIV_dec31_dec_sub9_DIV_dec31_dec_sub9_in2_sel;
(* enum_base_type = "MicrOp" *)
(* enum_value_0000000 = "OP_ILLEGAL" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [6:0] DIV_dec31_dec_sub9_DIV_dec31_dec_sub9_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire DIV_dec31_dec_sub9_DIV_dec31_dec_sub9_inv_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire DIV_dec31_dec_sub9_DIV_dec31_dec_sub9_inv_out;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire DIV_dec31_dec_sub9_DIV_dec31_dec_sub9_is_32b;
(* enum_base_type = "LdstLen" *)
(* enum_value_0000 = "NONE" *)
(* enum_value_0010 = "is2B" *)
(* enum_value_0100 = "is4B" *)
(* enum_value_1000 = "is8B" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [3:0] DIV_dec31_dec_sub9_DIV_dec31_dec_sub9_ldst_len;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] DIV_dec31_dec_sub9_DIV_dec31_dec_sub9_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire DIV_dec31_dec_sub9_DIV_dec31_dec_sub9_sgn;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
wire [31:0] DIV_dec31_dec_sub9_opcode_in;
(* enum_base_type = "Function" *)
(* enum_value_000000000000000 = "NONE" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [14:0] DIV_dec31_function_unit;
reg [14:0] DIV_dec31_function_unit;
(* enum_base_type = "In1Sel" *)
(* enum_value_100 = "RS" *)
(* enum_value_101 = "FRA" *)
(* enum_value_110 = "FRS" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] DIV_dec31_in1_sel;
reg [2:0] DIV_dec31_in1_sel;
(* enum_base_type = "In2Sel" *)
(* enum_value_1100 = "SPR" *)
(* enum_value_1101 = "RS" *)
(* enum_value_1110 = "FRB" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [3:0] DIV_dec31_in2_sel;
reg [3:0] DIV_dec31_in2_sel;
(* enum_base_type = "MicrOp" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [6:0] DIV_dec31_internal_op;
reg [6:0] DIV_dec31_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output DIV_dec31_inv_a;
reg DIV_dec31_inv_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output DIV_dec31_inv_out;
reg DIV_dec31_inv_out;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output DIV_dec31_is_32b;
reg DIV_dec31_is_32b;
(* enum_base_type = "LdstLen" *)
(* enum_value_0010 = "is2B" *)
(* enum_value_0100 = "is4B" *)
(* enum_value_1000 = "is8B" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [3:0] DIV_dec31_ldst_len;
reg [3:0] DIV_dec31_ldst_len;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] DIV_dec31_rc_sel;
reg [1:0] DIV_dec31_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output DIV_dec31_sgn;
reg DIV_dec31_sgn;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:355" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:357" *)
wire [4:0] opc_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
input [31:0] opcode_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
wire [9:0] opcode_switch;
DIV_dec31_dec_sub11 DIV_dec31_dec_sub11 (
.DIV_dec31_dec_sub11_SV_Ptype(DIV_dec31_dec_sub11_DIV_dec31_dec_sub11_SV_Ptype),
always @* begin
if (\initial ) begin end
DIV_dec31_cr_out = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opc_in)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
DIV_dec31_cr_out = DIV_dec31_dec_sub9_DIV_dec31_dec_sub9_cr_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
DIV_dec31_cr_out = DIV_dec31_dec_sub11_DIV_dec31_dec_sub11_cr_out;
endcase
always @* begin
if (\initial ) begin end
DIV_dec31_ldst_len = 4'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opc_in)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
DIV_dec31_ldst_len = DIV_dec31_dec_sub9_DIV_dec31_dec_sub9_ldst_len;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
DIV_dec31_ldst_len = DIV_dec31_dec_sub11_DIV_dec31_dec_sub11_ldst_len;
endcase
always @* begin
if (\initial ) begin end
DIV_dec31_rc_sel = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opc_in)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
DIV_dec31_rc_sel = DIV_dec31_dec_sub9_DIV_dec31_dec_sub9_rc_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
DIV_dec31_rc_sel = DIV_dec31_dec_sub11_DIV_dec31_dec_sub11_rc_sel;
endcase
always @* begin
if (\initial ) begin end
DIV_dec31_cry_in = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opc_in)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
DIV_dec31_cry_in = DIV_dec31_dec_sub9_DIV_dec31_dec_sub9_cry_in;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
DIV_dec31_cry_in = DIV_dec31_dec_sub11_DIV_dec31_dec_sub11_cry_in;
endcase
always @* begin
if (\initial ) begin end
DIV_dec31_inv_a = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opc_in)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
DIV_dec31_inv_a = DIV_dec31_dec_sub9_DIV_dec31_dec_sub9_inv_a;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
DIV_dec31_inv_a = DIV_dec31_dec_sub11_DIV_dec31_dec_sub11_inv_a;
endcase
always @* begin
if (\initial ) begin end
DIV_dec31_inv_out = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opc_in)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
DIV_dec31_inv_out = DIV_dec31_dec_sub9_DIV_dec31_dec_sub9_inv_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
DIV_dec31_inv_out = DIV_dec31_dec_sub11_DIV_dec31_dec_sub11_inv_out;
endcase
always @* begin
if (\initial ) begin end
DIV_dec31_cry_out = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opc_in)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
DIV_dec31_cry_out = DIV_dec31_dec_sub9_DIV_dec31_dec_sub9_cry_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
DIV_dec31_cry_out = DIV_dec31_dec_sub11_DIV_dec31_dec_sub11_cry_out;
endcase
always @* begin
if (\initial ) begin end
DIV_dec31_is_32b = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opc_in)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
DIV_dec31_is_32b = DIV_dec31_dec_sub9_DIV_dec31_dec_sub9_is_32b;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
DIV_dec31_is_32b = DIV_dec31_dec_sub11_DIV_dec31_dec_sub11_is_32b;
endcase
always @* begin
if (\initial ) begin end
DIV_dec31_sgn = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opc_in)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
DIV_dec31_sgn = DIV_dec31_dec_sub9_DIV_dec31_dec_sub9_sgn;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
DIV_dec31_sgn = DIV_dec31_dec_sub11_DIV_dec31_dec_sub11_sgn;
endcase
always @* begin
if (\initial ) begin end
DIV_dec31_function_unit = 15'h0000;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opc_in)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
DIV_dec31_function_unit = DIV_dec31_dec_sub9_DIV_dec31_dec_sub9_function_unit;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
DIV_dec31_function_unit = DIV_dec31_dec_sub11_DIV_dec31_dec_sub11_function_unit;
endcase
always @* begin
if (\initial ) begin end
DIV_dec31_internal_op = 7'h00;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opc_in)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
DIV_dec31_internal_op = DIV_dec31_dec_sub9_DIV_dec31_dec_sub9_internal_op;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
DIV_dec31_internal_op = DIV_dec31_dec_sub11_DIV_dec31_dec_sub11_internal_op;
endcase
always @* begin
if (\initial ) begin end
DIV_dec31_SV_Ptype = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opc_in)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
DIV_dec31_SV_Ptype = DIV_dec31_dec_sub9_DIV_dec31_dec_sub9_SV_Ptype;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
DIV_dec31_SV_Ptype = DIV_dec31_dec_sub11_DIV_dec31_dec_sub11_SV_Ptype;
endcase
always @* begin
if (\initial ) begin end
DIV_dec31_in1_sel = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opc_in)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
DIV_dec31_in1_sel = DIV_dec31_dec_sub9_DIV_dec31_dec_sub9_in1_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
DIV_dec31_in1_sel = DIV_dec31_dec_sub11_DIV_dec31_dec_sub11_in1_sel;
endcase
always @* begin
if (\initial ) begin end
DIV_dec31_in2_sel = 4'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opc_in)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
DIV_dec31_in2_sel = DIV_dec31_dec_sub9_DIV_dec31_dec_sub9_in2_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
DIV_dec31_in2_sel = DIV_dec31_dec_sub11_DIV_dec31_dec_sub11_in2_sel;
endcase
always @* begin
if (\initial ) begin end
DIV_dec31_cr_in = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opc_in)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
DIV_dec31_cr_in = DIV_dec31_dec_sub9_DIV_dec31_dec_sub9_cr_in;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
DIV_dec31_cr_in = DIV_dec31_dec_sub11_DIV_dec31_dec_sub11_cr_in;
endcase
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "P1" *)
(* enum_value_10 = "P2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] DIV_dec31_dec_sub11_SV_Ptype;
reg [1:0] DIV_dec31_dec_sub11_SV_Ptype;
(* enum_base_type = "CRInSel" *)
(* enum_value_101 = "BC" *)
(* enum_value_110 = "WHOLE_REG" *)
(* enum_value_111 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] DIV_dec31_dec_sub11_cr_in;
reg [2:0] DIV_dec31_dec_sub11_cr_in;
(* enum_base_type = "CROutSel" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] DIV_dec31_dec_sub11_cr_out;
reg [2:0] DIV_dec31_dec_sub11_cr_out;
(* enum_base_type = "CryIn" *)
(* enum_value_00 = "ZERO" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "CA" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] DIV_dec31_dec_sub11_cry_in;
reg [1:0] DIV_dec31_dec_sub11_cry_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output DIV_dec31_dec_sub11_cry_out;
reg DIV_dec31_dec_sub11_cry_out;
(* enum_base_type = "Function" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [14:0] DIV_dec31_dec_sub11_function_unit;
reg [14:0] DIV_dec31_dec_sub11_function_unit;
(* enum_base_type = "In1Sel" *)
(* enum_value_100 = "RS" *)
(* enum_value_101 = "FRA" *)
(* enum_value_110 = "FRS" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] DIV_dec31_dec_sub11_in1_sel;
reg [2:0] DIV_dec31_dec_sub11_in1_sel;
(* enum_base_type = "In2Sel" *)
(* enum_value_1100 = "SPR" *)
(* enum_value_1101 = "RS" *)
(* enum_value_1110 = "FRB" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [3:0] DIV_dec31_dec_sub11_in2_sel;
reg [3:0] DIV_dec31_dec_sub11_in2_sel;
(* enum_base_type = "MicrOp" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [6:0] DIV_dec31_dec_sub11_internal_op;
reg [6:0] DIV_dec31_dec_sub11_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output DIV_dec31_dec_sub11_inv_a;
reg DIV_dec31_dec_sub11_inv_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output DIV_dec31_dec_sub11_inv_out;
reg DIV_dec31_dec_sub11_inv_out;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output DIV_dec31_dec_sub11_is_32b;
reg DIV_dec31_dec_sub11_is_32b;
(* enum_base_type = "LdstLen" *)
(* enum_value_0010 = "is2B" *)
(* enum_value_0100 = "is4B" *)
(* enum_value_1000 = "is8B" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [3:0] DIV_dec31_dec_sub11_ldst_len;
reg [3:0] DIV_dec31_dec_sub11_ldst_len;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] DIV_dec31_dec_sub11_rc_sel;
reg [1:0] DIV_dec31_dec_sub11_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output DIV_dec31_dec_sub11_sgn;
reg DIV_dec31_dec_sub11_sgn;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
input [31:0] opcode_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
wire [4:0] opcode_switch;
always @* begin
if (\initial ) begin end
DIV_dec31_dec_sub11_function_unit = 15'h0000;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
DIV_dec31_dec_sub11_function_unit = 15'h0200;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
DIV_dec31_dec_sub11_function_unit = 15'h0200;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
DIV_dec31_dec_sub11_function_unit = 15'h0200;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
DIV_dec31_dec_sub11_function_unit = 15'h0200;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
DIV_dec31_dec_sub11_function_unit = 15'h0200;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
DIV_dec31_dec_sub11_function_unit = 15'h0200;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
DIV_dec31_dec_sub11_function_unit = 15'h0200;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
DIV_dec31_dec_sub11_function_unit = 15'h0200;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
DIV_dec31_dec_sub11_function_unit = 15'h0200;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
DIV_dec31_dec_sub11_function_unit = 15'h0200;
endcase
always @* begin
if (\initial ) begin end
DIV_dec31_dec_sub11_cry_in = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
DIV_dec31_dec_sub11_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
DIV_dec31_dec_sub11_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
DIV_dec31_dec_sub11_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
DIV_dec31_dec_sub11_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
DIV_dec31_dec_sub11_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
DIV_dec31_dec_sub11_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
DIV_dec31_dec_sub11_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
DIV_dec31_dec_sub11_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
DIV_dec31_dec_sub11_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
DIV_dec31_dec_sub11_cry_in = 2'h0;
endcase
always @* begin
if (\initial ) begin end
DIV_dec31_dec_sub11_inv_a = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
DIV_dec31_dec_sub11_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
DIV_dec31_dec_sub11_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
DIV_dec31_dec_sub11_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
DIV_dec31_dec_sub11_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
DIV_dec31_dec_sub11_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
DIV_dec31_dec_sub11_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
DIV_dec31_dec_sub11_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
DIV_dec31_dec_sub11_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
DIV_dec31_dec_sub11_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
DIV_dec31_dec_sub11_inv_a = 1'h0;
endcase
always @* begin
if (\initial ) begin end
DIV_dec31_dec_sub11_inv_out = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
DIV_dec31_dec_sub11_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
DIV_dec31_dec_sub11_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
DIV_dec31_dec_sub11_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
DIV_dec31_dec_sub11_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
DIV_dec31_dec_sub11_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
DIV_dec31_dec_sub11_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
DIV_dec31_dec_sub11_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
DIV_dec31_dec_sub11_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
DIV_dec31_dec_sub11_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
DIV_dec31_dec_sub11_inv_out = 1'h0;
endcase
always @* begin
if (\initial ) begin end
DIV_dec31_dec_sub11_cry_out = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
DIV_dec31_dec_sub11_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
DIV_dec31_dec_sub11_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
DIV_dec31_dec_sub11_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
DIV_dec31_dec_sub11_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
DIV_dec31_dec_sub11_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
DIV_dec31_dec_sub11_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
DIV_dec31_dec_sub11_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
DIV_dec31_dec_sub11_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
DIV_dec31_dec_sub11_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
DIV_dec31_dec_sub11_cry_out = 1'h0;
endcase
always @* begin
if (\initial ) begin end
DIV_dec31_dec_sub11_is_32b = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
DIV_dec31_dec_sub11_is_32b = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
DIV_dec31_dec_sub11_is_32b = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
DIV_dec31_dec_sub11_is_32b = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
DIV_dec31_dec_sub11_is_32b = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
DIV_dec31_dec_sub11_is_32b = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
DIV_dec31_dec_sub11_is_32b = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
DIV_dec31_dec_sub11_is_32b = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
DIV_dec31_dec_sub11_is_32b = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
DIV_dec31_dec_sub11_is_32b = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
DIV_dec31_dec_sub11_is_32b = 1'h1;
endcase
always @* begin
if (\initial ) begin end
DIV_dec31_dec_sub11_sgn = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
DIV_dec31_dec_sub11_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
DIV_dec31_dec_sub11_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
DIV_dec31_dec_sub11_sgn = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
DIV_dec31_dec_sub11_sgn = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
DIV_dec31_dec_sub11_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
DIV_dec31_dec_sub11_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
DIV_dec31_dec_sub11_sgn = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
DIV_dec31_dec_sub11_sgn = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
DIV_dec31_dec_sub11_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
DIV_dec31_dec_sub11_sgn = 1'h1;
endcase
always @* begin
if (\initial ) begin end
DIV_dec31_dec_sub11_internal_op = 7'h00;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
DIV_dec31_dec_sub11_internal_op = 7'h1e;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
DIV_dec31_dec_sub11_internal_op = 7'h1e;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
DIV_dec31_dec_sub11_internal_op = 7'h1e;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
DIV_dec31_dec_sub11_internal_op = 7'h1e;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
DIV_dec31_dec_sub11_internal_op = 7'h1d;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
DIV_dec31_dec_sub11_internal_op = 7'h1d;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
DIV_dec31_dec_sub11_internal_op = 7'h1d;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
DIV_dec31_dec_sub11_internal_op = 7'h1d;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
DIV_dec31_dec_sub11_internal_op = 7'h2f;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
DIV_dec31_dec_sub11_internal_op = 7'h2f;
endcase
always @* begin
if (\initial ) begin end
DIV_dec31_dec_sub11_SV_Ptype = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
DIV_dec31_dec_sub11_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
DIV_dec31_dec_sub11_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
DIV_dec31_dec_sub11_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
DIV_dec31_dec_sub11_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
DIV_dec31_dec_sub11_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
DIV_dec31_dec_sub11_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
DIV_dec31_dec_sub11_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
DIV_dec31_dec_sub11_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
DIV_dec31_dec_sub11_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
DIV_dec31_dec_sub11_SV_Ptype = 2'h1;
endcase
always @* begin
if (\initial ) begin end
DIV_dec31_dec_sub11_in1_sel = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
DIV_dec31_dec_sub11_in1_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
DIV_dec31_dec_sub11_in1_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
DIV_dec31_dec_sub11_in1_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
DIV_dec31_dec_sub11_in1_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
DIV_dec31_dec_sub11_in1_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
DIV_dec31_dec_sub11_in1_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
DIV_dec31_dec_sub11_in1_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
DIV_dec31_dec_sub11_in1_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
DIV_dec31_dec_sub11_in1_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
DIV_dec31_dec_sub11_in1_sel = 3'h1;
endcase
always @* begin
if (\initial ) begin end
DIV_dec31_dec_sub11_in2_sel = 4'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
DIV_dec31_dec_sub11_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
DIV_dec31_dec_sub11_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
DIV_dec31_dec_sub11_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
DIV_dec31_dec_sub11_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
DIV_dec31_dec_sub11_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
DIV_dec31_dec_sub11_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
DIV_dec31_dec_sub11_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
DIV_dec31_dec_sub11_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
DIV_dec31_dec_sub11_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
DIV_dec31_dec_sub11_in2_sel = 4'h1;
endcase
always @* begin
if (\initial ) begin end
DIV_dec31_dec_sub11_cr_in = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
DIV_dec31_dec_sub11_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
DIV_dec31_dec_sub11_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
DIV_dec31_dec_sub11_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
DIV_dec31_dec_sub11_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
DIV_dec31_dec_sub11_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
DIV_dec31_dec_sub11_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
DIV_dec31_dec_sub11_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
DIV_dec31_dec_sub11_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
DIV_dec31_dec_sub11_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
DIV_dec31_dec_sub11_cr_in = 3'h0;
endcase
always @* begin
if (\initial ) begin end
DIV_dec31_dec_sub11_cr_out = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
DIV_dec31_dec_sub11_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
DIV_dec31_dec_sub11_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
DIV_dec31_dec_sub11_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
DIV_dec31_dec_sub11_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
DIV_dec31_dec_sub11_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
DIV_dec31_dec_sub11_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
DIV_dec31_dec_sub11_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
DIV_dec31_dec_sub11_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
DIV_dec31_dec_sub11_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
DIV_dec31_dec_sub11_cr_out = 3'h0;
endcase
always @* begin
if (\initial ) begin end
DIV_dec31_dec_sub11_ldst_len = 4'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
DIV_dec31_dec_sub11_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
DIV_dec31_dec_sub11_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
DIV_dec31_dec_sub11_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
DIV_dec31_dec_sub11_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
DIV_dec31_dec_sub11_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
DIV_dec31_dec_sub11_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
DIV_dec31_dec_sub11_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
DIV_dec31_dec_sub11_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
DIV_dec31_dec_sub11_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
DIV_dec31_dec_sub11_ldst_len = 4'h0;
endcase
always @* begin
if (\initial ) begin end
DIV_dec31_dec_sub11_rc_sel = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
DIV_dec31_dec_sub11_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
DIV_dec31_dec_sub11_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
DIV_dec31_dec_sub11_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
DIV_dec31_dec_sub11_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
DIV_dec31_dec_sub11_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
DIV_dec31_dec_sub11_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
DIV_dec31_dec_sub11_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
DIV_dec31_dec_sub11_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
DIV_dec31_dec_sub11_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
DIV_dec31_dec_sub11_rc_sel = 2'h0;
endcase
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "P1" *)
(* enum_value_10 = "P2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] DIV_dec31_dec_sub9_SV_Ptype;
reg [1:0] DIV_dec31_dec_sub9_SV_Ptype;
(* enum_base_type = "CRInSel" *)
(* enum_value_101 = "BC" *)
(* enum_value_110 = "WHOLE_REG" *)
(* enum_value_111 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] DIV_dec31_dec_sub9_cr_in;
reg [2:0] DIV_dec31_dec_sub9_cr_in;
(* enum_base_type = "CROutSel" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] DIV_dec31_dec_sub9_cr_out;
reg [2:0] DIV_dec31_dec_sub9_cr_out;
(* enum_base_type = "CryIn" *)
(* enum_value_00 = "ZERO" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "CA" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] DIV_dec31_dec_sub9_cry_in;
reg [1:0] DIV_dec31_dec_sub9_cry_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output DIV_dec31_dec_sub9_cry_out;
reg DIV_dec31_dec_sub9_cry_out;
(* enum_base_type = "Function" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [14:0] DIV_dec31_dec_sub9_function_unit;
reg [14:0] DIV_dec31_dec_sub9_function_unit;
(* enum_base_type = "In1Sel" *)
(* enum_value_100 = "RS" *)
(* enum_value_101 = "FRA" *)
(* enum_value_110 = "FRS" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] DIV_dec31_dec_sub9_in1_sel;
reg [2:0] DIV_dec31_dec_sub9_in1_sel;
(* enum_base_type = "In2Sel" *)
(* enum_value_1100 = "SPR" *)
(* enum_value_1101 = "RS" *)
(* enum_value_1110 = "FRB" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [3:0] DIV_dec31_dec_sub9_in2_sel;
reg [3:0] DIV_dec31_dec_sub9_in2_sel;
(* enum_base_type = "MicrOp" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [6:0] DIV_dec31_dec_sub9_internal_op;
reg [6:0] DIV_dec31_dec_sub9_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output DIV_dec31_dec_sub9_inv_a;
reg DIV_dec31_dec_sub9_inv_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output DIV_dec31_dec_sub9_inv_out;
reg DIV_dec31_dec_sub9_inv_out;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output DIV_dec31_dec_sub9_is_32b;
reg DIV_dec31_dec_sub9_is_32b;
(* enum_base_type = "LdstLen" *)
(* enum_value_0010 = "is2B" *)
(* enum_value_0100 = "is4B" *)
(* enum_value_1000 = "is8B" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [3:0] DIV_dec31_dec_sub9_ldst_len;
reg [3:0] DIV_dec31_dec_sub9_ldst_len;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] DIV_dec31_dec_sub9_rc_sel;
reg [1:0] DIV_dec31_dec_sub9_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output DIV_dec31_dec_sub9_sgn;
reg DIV_dec31_dec_sub9_sgn;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
input [31:0] opcode_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
wire [4:0] opcode_switch;
always @* begin
if (\initial ) begin end
DIV_dec31_dec_sub9_function_unit = 15'h0000;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
DIV_dec31_dec_sub9_function_unit = 15'h0200;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
DIV_dec31_dec_sub9_function_unit = 15'h0200;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
DIV_dec31_dec_sub9_function_unit = 15'h0200;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
DIV_dec31_dec_sub9_function_unit = 15'h0200;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
DIV_dec31_dec_sub9_function_unit = 15'h0200;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
DIV_dec31_dec_sub9_function_unit = 15'h0200;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
DIV_dec31_dec_sub9_function_unit = 15'h0200;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
DIV_dec31_dec_sub9_function_unit = 15'h0200;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
DIV_dec31_dec_sub9_function_unit = 15'h0200;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
DIV_dec31_dec_sub9_function_unit = 15'h0200;
endcase
always @* begin
if (\initial ) begin end
DIV_dec31_dec_sub9_cry_in = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
DIV_dec31_dec_sub9_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
DIV_dec31_dec_sub9_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
DIV_dec31_dec_sub9_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
DIV_dec31_dec_sub9_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
DIV_dec31_dec_sub9_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
DIV_dec31_dec_sub9_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
DIV_dec31_dec_sub9_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
DIV_dec31_dec_sub9_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
DIV_dec31_dec_sub9_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
DIV_dec31_dec_sub9_cry_in = 2'h0;
endcase
always @* begin
if (\initial ) begin end
DIV_dec31_dec_sub9_inv_a = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
DIV_dec31_dec_sub9_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
DIV_dec31_dec_sub9_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
DIV_dec31_dec_sub9_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
DIV_dec31_dec_sub9_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
DIV_dec31_dec_sub9_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
DIV_dec31_dec_sub9_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
DIV_dec31_dec_sub9_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
DIV_dec31_dec_sub9_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
DIV_dec31_dec_sub9_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
DIV_dec31_dec_sub9_inv_a = 1'h0;
endcase
always @* begin
if (\initial ) begin end
DIV_dec31_dec_sub9_inv_out = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
DIV_dec31_dec_sub9_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
DIV_dec31_dec_sub9_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
DIV_dec31_dec_sub9_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
DIV_dec31_dec_sub9_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
DIV_dec31_dec_sub9_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
DIV_dec31_dec_sub9_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
DIV_dec31_dec_sub9_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
DIV_dec31_dec_sub9_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
DIV_dec31_dec_sub9_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
DIV_dec31_dec_sub9_inv_out = 1'h0;
endcase
always @* begin
if (\initial ) begin end
DIV_dec31_dec_sub9_cry_out = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
DIV_dec31_dec_sub9_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
DIV_dec31_dec_sub9_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
DIV_dec31_dec_sub9_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
DIV_dec31_dec_sub9_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
DIV_dec31_dec_sub9_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
DIV_dec31_dec_sub9_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
DIV_dec31_dec_sub9_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
DIV_dec31_dec_sub9_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
DIV_dec31_dec_sub9_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
DIV_dec31_dec_sub9_cry_out = 1'h0;
endcase
always @* begin
if (\initial ) begin end
DIV_dec31_dec_sub9_is_32b = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
DIV_dec31_dec_sub9_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
DIV_dec31_dec_sub9_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
DIV_dec31_dec_sub9_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
DIV_dec31_dec_sub9_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
DIV_dec31_dec_sub9_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
DIV_dec31_dec_sub9_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
DIV_dec31_dec_sub9_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
DIV_dec31_dec_sub9_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
DIV_dec31_dec_sub9_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
DIV_dec31_dec_sub9_is_32b = 1'h0;
endcase
always @* begin
if (\initial ) begin end
DIV_dec31_dec_sub9_sgn = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
DIV_dec31_dec_sub9_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
DIV_dec31_dec_sub9_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
DIV_dec31_dec_sub9_sgn = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
DIV_dec31_dec_sub9_sgn = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
DIV_dec31_dec_sub9_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
DIV_dec31_dec_sub9_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
DIV_dec31_dec_sub9_sgn = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
DIV_dec31_dec_sub9_sgn = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
DIV_dec31_dec_sub9_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
DIV_dec31_dec_sub9_sgn = 1'h1;
endcase
always @* begin
if (\initial ) begin end
DIV_dec31_dec_sub9_internal_op = 7'h00;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
DIV_dec31_dec_sub9_internal_op = 7'h1e;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
DIV_dec31_dec_sub9_internal_op = 7'h1e;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
DIV_dec31_dec_sub9_internal_op = 7'h1e;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
DIV_dec31_dec_sub9_internal_op = 7'h1e;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
DIV_dec31_dec_sub9_internal_op = 7'h1d;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
DIV_dec31_dec_sub9_internal_op = 7'h1d;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
DIV_dec31_dec_sub9_internal_op = 7'h1d;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
DIV_dec31_dec_sub9_internal_op = 7'h1d;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
DIV_dec31_dec_sub9_internal_op = 7'h2f;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
DIV_dec31_dec_sub9_internal_op = 7'h2f;
endcase
always @* begin
if (\initial ) begin end
DIV_dec31_dec_sub9_SV_Ptype = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
DIV_dec31_dec_sub9_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
DIV_dec31_dec_sub9_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
DIV_dec31_dec_sub9_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
DIV_dec31_dec_sub9_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
DIV_dec31_dec_sub9_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
DIV_dec31_dec_sub9_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
DIV_dec31_dec_sub9_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
DIV_dec31_dec_sub9_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
DIV_dec31_dec_sub9_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
DIV_dec31_dec_sub9_SV_Ptype = 2'h1;
endcase
always @* begin
if (\initial ) begin end
DIV_dec31_dec_sub9_in1_sel = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
DIV_dec31_dec_sub9_in1_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
DIV_dec31_dec_sub9_in1_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
DIV_dec31_dec_sub9_in1_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
DIV_dec31_dec_sub9_in1_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
DIV_dec31_dec_sub9_in1_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
DIV_dec31_dec_sub9_in1_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
DIV_dec31_dec_sub9_in1_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
DIV_dec31_dec_sub9_in1_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
DIV_dec31_dec_sub9_in1_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
DIV_dec31_dec_sub9_in1_sel = 3'h1;
endcase
always @* begin
if (\initial ) begin end
DIV_dec31_dec_sub9_in2_sel = 4'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
DIV_dec31_dec_sub9_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
DIV_dec31_dec_sub9_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
DIV_dec31_dec_sub9_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
DIV_dec31_dec_sub9_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
DIV_dec31_dec_sub9_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
DIV_dec31_dec_sub9_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
DIV_dec31_dec_sub9_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
DIV_dec31_dec_sub9_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
DIV_dec31_dec_sub9_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
DIV_dec31_dec_sub9_in2_sel = 4'h1;
endcase
always @* begin
if (\initial ) begin end
DIV_dec31_dec_sub9_cr_in = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
DIV_dec31_dec_sub9_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
DIV_dec31_dec_sub9_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
DIV_dec31_dec_sub9_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
DIV_dec31_dec_sub9_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
DIV_dec31_dec_sub9_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
DIV_dec31_dec_sub9_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
DIV_dec31_dec_sub9_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
DIV_dec31_dec_sub9_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
DIV_dec31_dec_sub9_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
DIV_dec31_dec_sub9_cr_in = 3'h0;
endcase
always @* begin
if (\initial ) begin end
DIV_dec31_dec_sub9_cr_out = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
DIV_dec31_dec_sub9_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
DIV_dec31_dec_sub9_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
DIV_dec31_dec_sub9_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
DIV_dec31_dec_sub9_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
DIV_dec31_dec_sub9_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
DIV_dec31_dec_sub9_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
DIV_dec31_dec_sub9_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
DIV_dec31_dec_sub9_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
DIV_dec31_dec_sub9_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
DIV_dec31_dec_sub9_cr_out = 3'h0;
endcase
always @* begin
if (\initial ) begin end
DIV_dec31_dec_sub9_ldst_len = 4'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
DIV_dec31_dec_sub9_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
DIV_dec31_dec_sub9_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
DIV_dec31_dec_sub9_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
DIV_dec31_dec_sub9_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
DIV_dec31_dec_sub9_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
DIV_dec31_dec_sub9_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
DIV_dec31_dec_sub9_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
DIV_dec31_dec_sub9_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
DIV_dec31_dec_sub9_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
DIV_dec31_dec_sub9_ldst_len = 4'h0;
endcase
always @* begin
if (\initial ) begin end
DIV_dec31_dec_sub9_rc_sel = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
DIV_dec31_dec_sub9_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
DIV_dec31_dec_sub9_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
DIV_dec31_dec_sub9_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
DIV_dec31_dec_sub9_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
DIV_dec31_dec_sub9_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
DIV_dec31_dec_sub9_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
DIV_dec31_dec_sub9_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
DIV_dec31_dec_sub9_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
DIV_dec31_dec_sub9_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
DIV_dec31_dec_sub9_rc_sel = 2'h0;
endcase
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "P1" *)
(* enum_value_10 = "P2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] LDST_dec31_SV_Ptype;
reg [1:0] LDST_dec31_SV_Ptype;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output LDST_dec31_br;
reg LDST_dec31_br;
(* enum_base_type = "CRInSel" *)
(* enum_value_101 = "BC" *)
(* enum_value_110 = "WHOLE_REG" *)
(* enum_value_111 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] LDST_dec31_cr_in;
reg [2:0] LDST_dec31_cr_in;
(* enum_base_type = "CROutSel" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] LDST_dec31_cr_out;
reg [2:0] LDST_dec31_cr_out;
(* enum_base_type = "SVPtype" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "P1" *)
(* enum_value_10 = "P2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] LDST_dec31_dec_sub20_LDST_dec31_dec_sub20_SV_Ptype;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire LDST_dec31_dec_sub20_LDST_dec31_dec_sub20_br;
(* enum_base_type = "CRInSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_101 = "BC" *)
(* enum_value_110 = "WHOLE_REG" *)
(* enum_value_111 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] LDST_dec31_dec_sub20_LDST_dec31_dec_sub20_cr_in;
(* enum_base_type = "CROutSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] LDST_dec31_dec_sub20_LDST_dec31_dec_sub20_cr_out;
(* enum_base_type = "Function" *)
(* enum_value_000000000000000 = "NONE" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [14:0] LDST_dec31_dec_sub20_LDST_dec31_dec_sub20_function_unit;
(* enum_base_type = "In1Sel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_100 = "RS" *)
(* enum_value_101 = "FRA" *)
(* enum_value_110 = "FRS" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] LDST_dec31_dec_sub20_LDST_dec31_dec_sub20_in1_sel;
(* enum_base_type = "In2Sel" *)
(* enum_value_0000 = "NONE" *)
(* enum_value_1100 = "SPR" *)
(* enum_value_1101 = "RS" *)
(* enum_value_1110 = "FRB" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [3:0] LDST_dec31_dec_sub20_LDST_dec31_dec_sub20_in2_sel;
(* enum_base_type = "MicrOp" *)
(* enum_value_0000000 = "OP_ILLEGAL" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [6:0] LDST_dec31_dec_sub20_LDST_dec31_dec_sub20_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire LDST_dec31_dec_sub20_LDST_dec31_dec_sub20_is_32b;
(* enum_base_type = "LdstLen" *)
(* enum_value_0000 = "NONE" *)
(* enum_value_0010 = "is2B" *)
(* enum_value_0100 = "is4B" *)
(* enum_value_1000 = "is8B" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [3:0] LDST_dec31_dec_sub20_LDST_dec31_dec_sub20_ldst_len;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] LDST_dec31_dec_sub20_LDST_dec31_dec_sub20_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire LDST_dec31_dec_sub20_LDST_dec31_dec_sub20_sgn;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire LDST_dec31_dec_sub20_LDST_dec31_dec_sub20_sgn_ext;
(* enum_base_type = "LDSTMode" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "update" *)
(* enum_value_10 = "cix" *)
(* enum_value_11 = "cx" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] LDST_dec31_dec_sub20_LDST_dec31_dec_sub20_upd;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
wire [31:0] LDST_dec31_dec_sub20_opcode_in;
(* enum_base_type = "SVPtype" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "P1" *)
(* enum_value_10 = "P2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] LDST_dec31_dec_sub21_LDST_dec31_dec_sub21_SV_Ptype;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire LDST_dec31_dec_sub21_LDST_dec31_dec_sub21_br;
(* enum_base_type = "CRInSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_101 = "BC" *)
(* enum_value_110 = "WHOLE_REG" *)
(* enum_value_111 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] LDST_dec31_dec_sub21_LDST_dec31_dec_sub21_cr_in;
(* enum_base_type = "CROutSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] LDST_dec31_dec_sub21_LDST_dec31_dec_sub21_cr_out;
(* enum_base_type = "Function" *)
(* enum_value_000000000000000 = "NONE" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [14:0] LDST_dec31_dec_sub21_LDST_dec31_dec_sub21_function_unit;
(* enum_base_type = "In1Sel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_100 = "RS" *)
(* enum_value_101 = "FRA" *)
(* enum_value_110 = "FRS" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] LDST_dec31_dec_sub21_LDST_dec31_dec_sub21_in1_sel;
(* enum_base_type = "In2Sel" *)
(* enum_value_0000 = "NONE" *)
(* enum_value_1100 = "SPR" *)
(* enum_value_1101 = "RS" *)
(* enum_value_1110 = "FRB" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [3:0] LDST_dec31_dec_sub21_LDST_dec31_dec_sub21_in2_sel;
(* enum_base_type = "MicrOp" *)
(* enum_value_0000000 = "OP_ILLEGAL" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [6:0] LDST_dec31_dec_sub21_LDST_dec31_dec_sub21_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire LDST_dec31_dec_sub21_LDST_dec31_dec_sub21_is_32b;
(* enum_base_type = "LdstLen" *)
(* enum_value_0000 = "NONE" *)
(* enum_value_0010 = "is2B" *)
(* enum_value_0100 = "is4B" *)
(* enum_value_1000 = "is8B" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [3:0] LDST_dec31_dec_sub21_LDST_dec31_dec_sub21_ldst_len;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] LDST_dec31_dec_sub21_LDST_dec31_dec_sub21_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire LDST_dec31_dec_sub21_LDST_dec31_dec_sub21_sgn;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire LDST_dec31_dec_sub21_LDST_dec31_dec_sub21_sgn_ext;
(* enum_base_type = "LDSTMode" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "update" *)
(* enum_value_10 = "cix" *)
(* enum_value_11 = "cx" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] LDST_dec31_dec_sub21_LDST_dec31_dec_sub21_upd;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
wire [31:0] LDST_dec31_dec_sub21_opcode_in;
(* enum_base_type = "SVPtype" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "P1" *)
(* enum_value_10 = "P2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] LDST_dec31_dec_sub22_LDST_dec31_dec_sub22_SV_Ptype;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire LDST_dec31_dec_sub22_LDST_dec31_dec_sub22_br;
(* enum_base_type = "CRInSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_101 = "BC" *)
(* enum_value_110 = "WHOLE_REG" *)
(* enum_value_111 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] LDST_dec31_dec_sub22_LDST_dec31_dec_sub22_cr_in;
(* enum_base_type = "CROutSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] LDST_dec31_dec_sub22_LDST_dec31_dec_sub22_cr_out;
(* enum_base_type = "Function" *)
(* enum_value_000000000000000 = "NONE" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [14:0] LDST_dec31_dec_sub22_LDST_dec31_dec_sub22_function_unit;
(* enum_base_type = "In1Sel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_100 = "RS" *)
(* enum_value_101 = "FRA" *)
(* enum_value_110 = "FRS" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] LDST_dec31_dec_sub22_LDST_dec31_dec_sub22_in1_sel;
(* enum_base_type = "In2Sel" *)
(* enum_value_0000 = "NONE" *)
(* enum_value_1100 = "SPR" *)
(* enum_value_1101 = "RS" *)
(* enum_value_1110 = "FRB" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [3:0] LDST_dec31_dec_sub22_LDST_dec31_dec_sub22_in2_sel;
(* enum_base_type = "MicrOp" *)
(* enum_value_0000000 = "OP_ILLEGAL" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [6:0] LDST_dec31_dec_sub22_LDST_dec31_dec_sub22_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire LDST_dec31_dec_sub22_LDST_dec31_dec_sub22_is_32b;
(* enum_base_type = "LdstLen" *)
(* enum_value_0000 = "NONE" *)
(* enum_value_0010 = "is2B" *)
(* enum_value_0100 = "is4B" *)
(* enum_value_1000 = "is8B" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [3:0] LDST_dec31_dec_sub22_LDST_dec31_dec_sub22_ldst_len;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] LDST_dec31_dec_sub22_LDST_dec31_dec_sub22_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire LDST_dec31_dec_sub22_LDST_dec31_dec_sub22_sgn;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire LDST_dec31_dec_sub22_LDST_dec31_dec_sub22_sgn_ext;
(* enum_base_type = "LDSTMode" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "update" *)
(* enum_value_10 = "cix" *)
(* enum_value_11 = "cx" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] LDST_dec31_dec_sub22_LDST_dec31_dec_sub22_upd;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
wire [31:0] LDST_dec31_dec_sub22_opcode_in;
(* enum_base_type = "SVPtype" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "P1" *)
(* enum_value_10 = "P2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] LDST_dec31_dec_sub23_LDST_dec31_dec_sub23_SV_Ptype;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire LDST_dec31_dec_sub23_LDST_dec31_dec_sub23_br;
(* enum_base_type = "CRInSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_101 = "BC" *)
(* enum_value_110 = "WHOLE_REG" *)
(* enum_value_111 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] LDST_dec31_dec_sub23_LDST_dec31_dec_sub23_cr_in;
(* enum_base_type = "CROutSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] LDST_dec31_dec_sub23_LDST_dec31_dec_sub23_cr_out;
(* enum_base_type = "Function" *)
(* enum_value_000000000000000 = "NONE" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [14:0] LDST_dec31_dec_sub23_LDST_dec31_dec_sub23_function_unit;
(* enum_base_type = "In1Sel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_100 = "RS" *)
(* enum_value_101 = "FRA" *)
(* enum_value_110 = "FRS" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] LDST_dec31_dec_sub23_LDST_dec31_dec_sub23_in1_sel;
(* enum_base_type = "In2Sel" *)
(* enum_value_0000 = "NONE" *)
(* enum_value_1100 = "SPR" *)
(* enum_value_1101 = "RS" *)
(* enum_value_1110 = "FRB" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [3:0] LDST_dec31_dec_sub23_LDST_dec31_dec_sub23_in2_sel;
(* enum_base_type = "MicrOp" *)
(* enum_value_0000000 = "OP_ILLEGAL" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [6:0] LDST_dec31_dec_sub23_LDST_dec31_dec_sub23_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire LDST_dec31_dec_sub23_LDST_dec31_dec_sub23_is_32b;
(* enum_base_type = "LdstLen" *)
(* enum_value_0000 = "NONE" *)
(* enum_value_0010 = "is2B" *)
(* enum_value_0100 = "is4B" *)
(* enum_value_1000 = "is8B" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [3:0] LDST_dec31_dec_sub23_LDST_dec31_dec_sub23_ldst_len;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] LDST_dec31_dec_sub23_LDST_dec31_dec_sub23_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire LDST_dec31_dec_sub23_LDST_dec31_dec_sub23_sgn;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire LDST_dec31_dec_sub23_LDST_dec31_dec_sub23_sgn_ext;
(* enum_base_type = "LDSTMode" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "update" *)
(* enum_value_10 = "cix" *)
(* enum_value_11 = "cx" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] LDST_dec31_dec_sub23_LDST_dec31_dec_sub23_upd;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
wire [31:0] LDST_dec31_dec_sub23_opcode_in;
(* enum_base_type = "Function" *)
(* enum_value_000000000000000 = "NONE" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [14:0] LDST_dec31_function_unit;
reg [14:0] LDST_dec31_function_unit;
(* enum_base_type = "In1Sel" *)
(* enum_value_100 = "RS" *)
(* enum_value_101 = "FRA" *)
(* enum_value_110 = "FRS" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] LDST_dec31_in1_sel;
reg [2:0] LDST_dec31_in1_sel;
(* enum_base_type = "In2Sel" *)
(* enum_value_1100 = "SPR" *)
(* enum_value_1101 = "RS" *)
(* enum_value_1110 = "FRB" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [3:0] LDST_dec31_in2_sel;
reg [3:0] LDST_dec31_in2_sel;
(* enum_base_type = "MicrOp" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [6:0] LDST_dec31_internal_op;
reg [6:0] LDST_dec31_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output LDST_dec31_is_32b;
reg LDST_dec31_is_32b;
(* enum_base_type = "LdstLen" *)
(* enum_value_0010 = "is2B" *)
(* enum_value_0100 = "is4B" *)
(* enum_value_1000 = "is8B" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [3:0] LDST_dec31_ldst_len;
reg [3:0] LDST_dec31_ldst_len;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] LDST_dec31_rc_sel;
reg [1:0] LDST_dec31_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output LDST_dec31_sgn;
reg LDST_dec31_sgn;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output LDST_dec31_sgn_ext;
reg LDST_dec31_sgn_ext;
(* enum_base_type = "LDSTMode" *)
(* enum_value_01 = "update" *)
(* enum_value_10 = "cix" *)
(* enum_value_11 = "cx" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] LDST_dec31_upd;
reg [1:0] LDST_dec31_upd;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:355" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:357" *)
wire [4:0] opc_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
input [31:0] opcode_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
wire [9:0] opcode_switch;
LDST_dec31_dec_sub20 LDST_dec31_dec_sub20 (
.LDST_dec31_dec_sub20_SV_Ptype(LDST_dec31_dec_sub20_LDST_dec31_dec_sub20_SV_Ptype),
always @* begin
if (\initial ) begin end
LDST_dec31_in2_sel = 4'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opc_in)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
LDST_dec31_in2_sel = LDST_dec31_dec_sub22_LDST_dec31_dec_sub22_in2_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
LDST_dec31_in2_sel = LDST_dec31_dec_sub20_LDST_dec31_dec_sub20_in2_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
LDST_dec31_in2_sel = LDST_dec31_dec_sub21_LDST_dec31_dec_sub21_in2_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
LDST_dec31_in2_sel = LDST_dec31_dec_sub23_LDST_dec31_dec_sub23_in2_sel;
endcase
always @* begin
if (\initial ) begin end
LDST_dec31_cr_in = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opc_in)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
LDST_dec31_cr_in = LDST_dec31_dec_sub22_LDST_dec31_dec_sub22_cr_in;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
LDST_dec31_cr_in = LDST_dec31_dec_sub20_LDST_dec31_dec_sub20_cr_in;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
LDST_dec31_cr_in = LDST_dec31_dec_sub21_LDST_dec31_dec_sub21_cr_in;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
LDST_dec31_cr_in = LDST_dec31_dec_sub23_LDST_dec31_dec_sub23_cr_in;
endcase
always @* begin
if (\initial ) begin end
LDST_dec31_cr_out = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opc_in)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
LDST_dec31_cr_out = LDST_dec31_dec_sub22_LDST_dec31_dec_sub22_cr_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
LDST_dec31_cr_out = LDST_dec31_dec_sub20_LDST_dec31_dec_sub20_cr_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
LDST_dec31_cr_out = LDST_dec31_dec_sub21_LDST_dec31_dec_sub21_cr_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
LDST_dec31_cr_out = LDST_dec31_dec_sub23_LDST_dec31_dec_sub23_cr_out;
endcase
always @* begin
if (\initial ) begin end
LDST_dec31_ldst_len = 4'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opc_in)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
LDST_dec31_ldst_len = LDST_dec31_dec_sub22_LDST_dec31_dec_sub22_ldst_len;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
LDST_dec31_ldst_len = LDST_dec31_dec_sub20_LDST_dec31_dec_sub20_ldst_len;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
LDST_dec31_ldst_len = LDST_dec31_dec_sub21_LDST_dec31_dec_sub21_ldst_len;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
LDST_dec31_ldst_len = LDST_dec31_dec_sub23_LDST_dec31_dec_sub23_ldst_len;
endcase
always @* begin
if (\initial ) begin end
LDST_dec31_upd = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opc_in)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
LDST_dec31_upd = LDST_dec31_dec_sub22_LDST_dec31_dec_sub22_upd;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
LDST_dec31_upd = LDST_dec31_dec_sub20_LDST_dec31_dec_sub20_upd;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
LDST_dec31_upd = LDST_dec31_dec_sub21_LDST_dec31_dec_sub21_upd;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
LDST_dec31_upd = LDST_dec31_dec_sub23_LDST_dec31_dec_sub23_upd;
endcase
always @* begin
if (\initial ) begin end
LDST_dec31_rc_sel = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opc_in)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
LDST_dec31_rc_sel = LDST_dec31_dec_sub22_LDST_dec31_dec_sub22_rc_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
LDST_dec31_rc_sel = LDST_dec31_dec_sub20_LDST_dec31_dec_sub20_rc_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
LDST_dec31_rc_sel = LDST_dec31_dec_sub21_LDST_dec31_dec_sub21_rc_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
LDST_dec31_rc_sel = LDST_dec31_dec_sub23_LDST_dec31_dec_sub23_rc_sel;
endcase
always @* begin
if (\initial ) begin end
LDST_dec31_br = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opc_in)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
LDST_dec31_br = LDST_dec31_dec_sub22_LDST_dec31_dec_sub22_br;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
LDST_dec31_br = LDST_dec31_dec_sub20_LDST_dec31_dec_sub20_br;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
LDST_dec31_br = LDST_dec31_dec_sub21_LDST_dec31_dec_sub21_br;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
LDST_dec31_br = LDST_dec31_dec_sub23_LDST_dec31_dec_sub23_br;
endcase
always @* begin
if (\initial ) begin end
LDST_dec31_sgn_ext = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opc_in)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
LDST_dec31_sgn_ext = LDST_dec31_dec_sub22_LDST_dec31_dec_sub22_sgn_ext;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
LDST_dec31_sgn_ext = LDST_dec31_dec_sub20_LDST_dec31_dec_sub20_sgn_ext;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
LDST_dec31_sgn_ext = LDST_dec31_dec_sub21_LDST_dec31_dec_sub21_sgn_ext;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
LDST_dec31_sgn_ext = LDST_dec31_dec_sub23_LDST_dec31_dec_sub23_sgn_ext;
endcase
always @* begin
if (\initial ) begin end
LDST_dec31_is_32b = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opc_in)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
LDST_dec31_is_32b = LDST_dec31_dec_sub22_LDST_dec31_dec_sub22_is_32b;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
LDST_dec31_is_32b = LDST_dec31_dec_sub20_LDST_dec31_dec_sub20_is_32b;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
LDST_dec31_is_32b = LDST_dec31_dec_sub21_LDST_dec31_dec_sub21_is_32b;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
LDST_dec31_is_32b = LDST_dec31_dec_sub23_LDST_dec31_dec_sub23_is_32b;
endcase
always @* begin
if (\initial ) begin end
LDST_dec31_sgn = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opc_in)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
LDST_dec31_sgn = LDST_dec31_dec_sub22_LDST_dec31_dec_sub22_sgn;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
LDST_dec31_sgn = LDST_dec31_dec_sub20_LDST_dec31_dec_sub20_sgn;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
LDST_dec31_sgn = LDST_dec31_dec_sub21_LDST_dec31_dec_sub21_sgn;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
LDST_dec31_sgn = LDST_dec31_dec_sub23_LDST_dec31_dec_sub23_sgn;
endcase
always @* begin
if (\initial ) begin end
LDST_dec31_function_unit = 15'h0000;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opc_in)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
LDST_dec31_function_unit = LDST_dec31_dec_sub22_LDST_dec31_dec_sub22_function_unit;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
LDST_dec31_function_unit = LDST_dec31_dec_sub20_LDST_dec31_dec_sub20_function_unit;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
LDST_dec31_function_unit = LDST_dec31_dec_sub21_LDST_dec31_dec_sub21_function_unit;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
LDST_dec31_function_unit = LDST_dec31_dec_sub23_LDST_dec31_dec_sub23_function_unit;
endcase
always @* begin
if (\initial ) begin end
LDST_dec31_internal_op = 7'h00;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opc_in)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
LDST_dec31_internal_op = LDST_dec31_dec_sub22_LDST_dec31_dec_sub22_internal_op;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
LDST_dec31_internal_op = LDST_dec31_dec_sub20_LDST_dec31_dec_sub20_internal_op;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
LDST_dec31_internal_op = LDST_dec31_dec_sub21_LDST_dec31_dec_sub21_internal_op;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
LDST_dec31_internal_op = LDST_dec31_dec_sub23_LDST_dec31_dec_sub23_internal_op;
endcase
always @* begin
if (\initial ) begin end
LDST_dec31_SV_Ptype = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opc_in)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
LDST_dec31_SV_Ptype = LDST_dec31_dec_sub22_LDST_dec31_dec_sub22_SV_Ptype;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
LDST_dec31_SV_Ptype = LDST_dec31_dec_sub20_LDST_dec31_dec_sub20_SV_Ptype;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
LDST_dec31_SV_Ptype = LDST_dec31_dec_sub21_LDST_dec31_dec_sub21_SV_Ptype;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
LDST_dec31_SV_Ptype = LDST_dec31_dec_sub23_LDST_dec31_dec_sub23_SV_Ptype;
endcase
always @* begin
if (\initial ) begin end
LDST_dec31_in1_sel = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opc_in)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
LDST_dec31_in1_sel = LDST_dec31_dec_sub22_LDST_dec31_dec_sub22_in1_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
LDST_dec31_in1_sel = LDST_dec31_dec_sub20_LDST_dec31_dec_sub20_in1_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
LDST_dec31_in1_sel = LDST_dec31_dec_sub21_LDST_dec31_dec_sub21_in1_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
LDST_dec31_in1_sel = LDST_dec31_dec_sub23_LDST_dec31_dec_sub23_in1_sel;
endcase
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "P1" *)
(* enum_value_10 = "P2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] LDST_dec31_dec_sub20_SV_Ptype;
reg [1:0] LDST_dec31_dec_sub20_SV_Ptype;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output LDST_dec31_dec_sub20_br;
reg LDST_dec31_dec_sub20_br;
(* enum_base_type = "CRInSel" *)
(* enum_value_101 = "BC" *)
(* enum_value_110 = "WHOLE_REG" *)
(* enum_value_111 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] LDST_dec31_dec_sub20_cr_in;
reg [2:0] LDST_dec31_dec_sub20_cr_in;
(* enum_base_type = "CROutSel" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] LDST_dec31_dec_sub20_cr_out;
reg [2:0] LDST_dec31_dec_sub20_cr_out;
(* enum_base_type = "Function" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [14:0] LDST_dec31_dec_sub20_function_unit;
reg [14:0] LDST_dec31_dec_sub20_function_unit;
(* enum_base_type = "In1Sel" *)
(* enum_value_100 = "RS" *)
(* enum_value_101 = "FRA" *)
(* enum_value_110 = "FRS" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] LDST_dec31_dec_sub20_in1_sel;
reg [2:0] LDST_dec31_dec_sub20_in1_sel;
(* enum_base_type = "In2Sel" *)
(* enum_value_1100 = "SPR" *)
(* enum_value_1101 = "RS" *)
(* enum_value_1110 = "FRB" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [3:0] LDST_dec31_dec_sub20_in2_sel;
reg [3:0] LDST_dec31_dec_sub20_in2_sel;
(* enum_base_type = "MicrOp" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [6:0] LDST_dec31_dec_sub20_internal_op;
reg [6:0] LDST_dec31_dec_sub20_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output LDST_dec31_dec_sub20_is_32b;
reg LDST_dec31_dec_sub20_is_32b;
(* enum_base_type = "LdstLen" *)
(* enum_value_0010 = "is2B" *)
(* enum_value_0100 = "is4B" *)
(* enum_value_1000 = "is8B" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [3:0] LDST_dec31_dec_sub20_ldst_len;
reg [3:0] LDST_dec31_dec_sub20_ldst_len;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] LDST_dec31_dec_sub20_rc_sel;
reg [1:0] LDST_dec31_dec_sub20_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output LDST_dec31_dec_sub20_sgn;
reg LDST_dec31_dec_sub20_sgn;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output LDST_dec31_dec_sub20_sgn_ext;
reg LDST_dec31_dec_sub20_sgn_ext;
(* enum_base_type = "LDSTMode" *)
(* enum_value_01 = "update" *)
(* enum_value_10 = "cix" *)
(* enum_value_11 = "cx" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] LDST_dec31_dec_sub20_upd;
reg [1:0] LDST_dec31_dec_sub20_upd;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
input [31:0] opcode_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
wire [4:0] opcode_switch;
always @* begin
if (\initial ) begin end
LDST_dec31_dec_sub20_function_unit = 15'h0000;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
LDST_dec31_dec_sub20_function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
LDST_dec31_dec_sub20_function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
LDST_dec31_dec_sub20_function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
LDST_dec31_dec_sub20_function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
LDST_dec31_dec_sub20_function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
LDST_dec31_dec_sub20_function_unit = 15'h0004;
endcase
always @* begin
if (\initial ) begin end
LDST_dec31_dec_sub20_rc_sel = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
LDST_dec31_dec_sub20_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
LDST_dec31_dec_sub20_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
LDST_dec31_dec_sub20_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
LDST_dec31_dec_sub20_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
LDST_dec31_dec_sub20_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
LDST_dec31_dec_sub20_rc_sel = 2'h0;
endcase
always @* begin
if (\initial ) begin end
LDST_dec31_dec_sub20_br = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
LDST_dec31_dec_sub20_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
LDST_dec31_dec_sub20_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
LDST_dec31_dec_sub20_br = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
LDST_dec31_dec_sub20_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
LDST_dec31_dec_sub20_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
LDST_dec31_dec_sub20_br = 1'h1;
endcase
always @* begin
if (\initial ) begin end
LDST_dec31_dec_sub20_sgn_ext = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
LDST_dec31_dec_sub20_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
LDST_dec31_dec_sub20_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
LDST_dec31_dec_sub20_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
LDST_dec31_dec_sub20_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
LDST_dec31_dec_sub20_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
LDST_dec31_dec_sub20_sgn_ext = 1'h0;
endcase
always @* begin
if (\initial ) begin end
LDST_dec31_dec_sub20_is_32b = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
LDST_dec31_dec_sub20_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
LDST_dec31_dec_sub20_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
LDST_dec31_dec_sub20_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
LDST_dec31_dec_sub20_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
LDST_dec31_dec_sub20_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
LDST_dec31_dec_sub20_is_32b = 1'h0;
endcase
always @* begin
if (\initial ) begin end
LDST_dec31_dec_sub20_sgn = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
LDST_dec31_dec_sub20_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
LDST_dec31_dec_sub20_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
LDST_dec31_dec_sub20_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
LDST_dec31_dec_sub20_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
LDST_dec31_dec_sub20_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
LDST_dec31_dec_sub20_sgn = 1'h0;
endcase
always @* begin
if (\initial ) begin end
LDST_dec31_dec_sub20_internal_op = 7'h00;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
LDST_dec31_dec_sub20_internal_op = 7'h25;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
LDST_dec31_dec_sub20_internal_op = 7'h25;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
LDST_dec31_dec_sub20_internal_op = 7'h25;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
LDST_dec31_dec_sub20_internal_op = 7'h25;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
LDST_dec31_dec_sub20_internal_op = 7'h25;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
LDST_dec31_dec_sub20_internal_op = 7'h26;
endcase
always @* begin
if (\initial ) begin end
LDST_dec31_dec_sub20_SV_Ptype = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
LDST_dec31_dec_sub20_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
LDST_dec31_dec_sub20_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
LDST_dec31_dec_sub20_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
LDST_dec31_dec_sub20_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
LDST_dec31_dec_sub20_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
LDST_dec31_dec_sub20_SV_Ptype = 2'h2;
endcase
always @* begin
if (\initial ) begin end
LDST_dec31_dec_sub20_in1_sel = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
LDST_dec31_dec_sub20_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
LDST_dec31_dec_sub20_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
LDST_dec31_dec_sub20_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
LDST_dec31_dec_sub20_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
LDST_dec31_dec_sub20_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
LDST_dec31_dec_sub20_in1_sel = 3'h2;
endcase
always @* begin
if (\initial ) begin end
LDST_dec31_dec_sub20_in2_sel = 4'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
LDST_dec31_dec_sub20_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
LDST_dec31_dec_sub20_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
LDST_dec31_dec_sub20_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
LDST_dec31_dec_sub20_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
LDST_dec31_dec_sub20_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
LDST_dec31_dec_sub20_in2_sel = 4'h1;
endcase
always @* begin
if (\initial ) begin end
LDST_dec31_dec_sub20_cr_in = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
LDST_dec31_dec_sub20_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
LDST_dec31_dec_sub20_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
LDST_dec31_dec_sub20_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
LDST_dec31_dec_sub20_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
LDST_dec31_dec_sub20_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
LDST_dec31_dec_sub20_cr_in = 3'h0;
endcase
always @* begin
if (\initial ) begin end
LDST_dec31_dec_sub20_cr_out = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
LDST_dec31_dec_sub20_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
LDST_dec31_dec_sub20_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
LDST_dec31_dec_sub20_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
LDST_dec31_dec_sub20_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
LDST_dec31_dec_sub20_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
LDST_dec31_dec_sub20_cr_out = 3'h0;
endcase
always @* begin
if (\initial ) begin end
LDST_dec31_dec_sub20_ldst_len = 4'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
LDST_dec31_dec_sub20_ldst_len = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
LDST_dec31_dec_sub20_ldst_len = 4'h8;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
LDST_dec31_dec_sub20_ldst_len = 4'h8;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
LDST_dec31_dec_sub20_ldst_len = 4'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
LDST_dec31_dec_sub20_ldst_len = 4'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
LDST_dec31_dec_sub20_ldst_len = 4'h8;
endcase
always @* begin
if (\initial ) begin end
LDST_dec31_dec_sub20_upd = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
LDST_dec31_dec_sub20_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
LDST_dec31_dec_sub20_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
LDST_dec31_dec_sub20_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
LDST_dec31_dec_sub20_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
LDST_dec31_dec_sub20_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
LDST_dec31_dec_sub20_upd = 2'h0;
endcase
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "P1" *)
(* enum_value_10 = "P2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] LDST_dec31_dec_sub21_SV_Ptype;
reg [1:0] LDST_dec31_dec_sub21_SV_Ptype;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output LDST_dec31_dec_sub21_br;
reg LDST_dec31_dec_sub21_br;
(* enum_base_type = "CRInSel" *)
(* enum_value_101 = "BC" *)
(* enum_value_110 = "WHOLE_REG" *)
(* enum_value_111 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] LDST_dec31_dec_sub21_cr_in;
reg [2:0] LDST_dec31_dec_sub21_cr_in;
(* enum_base_type = "CROutSel" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] LDST_dec31_dec_sub21_cr_out;
reg [2:0] LDST_dec31_dec_sub21_cr_out;
(* enum_base_type = "Function" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [14:0] LDST_dec31_dec_sub21_function_unit;
reg [14:0] LDST_dec31_dec_sub21_function_unit;
(* enum_base_type = "In1Sel" *)
(* enum_value_100 = "RS" *)
(* enum_value_101 = "FRA" *)
(* enum_value_110 = "FRS" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] LDST_dec31_dec_sub21_in1_sel;
reg [2:0] LDST_dec31_dec_sub21_in1_sel;
(* enum_base_type = "In2Sel" *)
(* enum_value_1100 = "SPR" *)
(* enum_value_1101 = "RS" *)
(* enum_value_1110 = "FRB" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [3:0] LDST_dec31_dec_sub21_in2_sel;
reg [3:0] LDST_dec31_dec_sub21_in2_sel;
(* enum_base_type = "MicrOp" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [6:0] LDST_dec31_dec_sub21_internal_op;
reg [6:0] LDST_dec31_dec_sub21_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output LDST_dec31_dec_sub21_is_32b;
reg LDST_dec31_dec_sub21_is_32b;
(* enum_base_type = "LdstLen" *)
(* enum_value_0010 = "is2B" *)
(* enum_value_0100 = "is4B" *)
(* enum_value_1000 = "is8B" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [3:0] LDST_dec31_dec_sub21_ldst_len;
reg [3:0] LDST_dec31_dec_sub21_ldst_len;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] LDST_dec31_dec_sub21_rc_sel;
reg [1:0] LDST_dec31_dec_sub21_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output LDST_dec31_dec_sub21_sgn;
reg LDST_dec31_dec_sub21_sgn;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output LDST_dec31_dec_sub21_sgn_ext;
reg LDST_dec31_dec_sub21_sgn_ext;
(* enum_base_type = "LDSTMode" *)
(* enum_value_01 = "update" *)
(* enum_value_10 = "cix" *)
(* enum_value_11 = "cx" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] LDST_dec31_dec_sub21_upd;
reg [1:0] LDST_dec31_dec_sub21_upd;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
input [31:0] opcode_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
wire [4:0] opcode_switch;
always @* begin
if (\initial ) begin end
LDST_dec31_dec_sub21_function_unit = 15'h0000;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
LDST_dec31_dec_sub21_function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
LDST_dec31_dec_sub21_function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
LDST_dec31_dec_sub21_function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
LDST_dec31_dec_sub21_function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
LDST_dec31_dec_sub21_function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
LDST_dec31_dec_sub21_function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
LDST_dec31_dec_sub21_function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
LDST_dec31_dec_sub21_function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
LDST_dec31_dec_sub21_function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
LDST_dec31_dec_sub21_function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
LDST_dec31_dec_sub21_function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
LDST_dec31_dec_sub21_function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
LDST_dec31_dec_sub21_function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
LDST_dec31_dec_sub21_function_unit = 15'h0004;
endcase
always @* begin
if (\initial ) begin end
LDST_dec31_dec_sub21_rc_sel = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
LDST_dec31_dec_sub21_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
LDST_dec31_dec_sub21_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
LDST_dec31_dec_sub21_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
LDST_dec31_dec_sub21_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
LDST_dec31_dec_sub21_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
LDST_dec31_dec_sub21_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
LDST_dec31_dec_sub21_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
LDST_dec31_dec_sub21_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
LDST_dec31_dec_sub21_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
LDST_dec31_dec_sub21_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
LDST_dec31_dec_sub21_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
LDST_dec31_dec_sub21_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
LDST_dec31_dec_sub21_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
LDST_dec31_dec_sub21_rc_sel = 2'h0;
endcase
always @* begin
if (\initial ) begin end
LDST_dec31_dec_sub21_br = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
LDST_dec31_dec_sub21_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
LDST_dec31_dec_sub21_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
LDST_dec31_dec_sub21_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
LDST_dec31_dec_sub21_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
LDST_dec31_dec_sub21_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
LDST_dec31_dec_sub21_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
LDST_dec31_dec_sub21_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
LDST_dec31_dec_sub21_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
LDST_dec31_dec_sub21_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
LDST_dec31_dec_sub21_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
LDST_dec31_dec_sub21_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
LDST_dec31_dec_sub21_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
LDST_dec31_dec_sub21_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
LDST_dec31_dec_sub21_br = 1'h0;
endcase
always @* begin
if (\initial ) begin end
LDST_dec31_dec_sub21_sgn_ext = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
LDST_dec31_dec_sub21_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
LDST_dec31_dec_sub21_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
LDST_dec31_dec_sub21_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
LDST_dec31_dec_sub21_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
LDST_dec31_dec_sub21_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
LDST_dec31_dec_sub21_sgn_ext = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
LDST_dec31_dec_sub21_sgn_ext = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
LDST_dec31_dec_sub21_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
LDST_dec31_dec_sub21_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
LDST_dec31_dec_sub21_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
LDST_dec31_dec_sub21_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
LDST_dec31_dec_sub21_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
LDST_dec31_dec_sub21_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
LDST_dec31_dec_sub21_sgn_ext = 1'h0;
endcase
always @* begin
if (\initial ) begin end
LDST_dec31_dec_sub21_is_32b = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
LDST_dec31_dec_sub21_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
LDST_dec31_dec_sub21_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
LDST_dec31_dec_sub21_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
LDST_dec31_dec_sub21_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
LDST_dec31_dec_sub21_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
LDST_dec31_dec_sub21_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
LDST_dec31_dec_sub21_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
LDST_dec31_dec_sub21_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
LDST_dec31_dec_sub21_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
LDST_dec31_dec_sub21_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
LDST_dec31_dec_sub21_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
LDST_dec31_dec_sub21_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
LDST_dec31_dec_sub21_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
LDST_dec31_dec_sub21_is_32b = 1'h0;
endcase
always @* begin
if (\initial ) begin end
LDST_dec31_dec_sub21_sgn = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
LDST_dec31_dec_sub21_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
LDST_dec31_dec_sub21_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
LDST_dec31_dec_sub21_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
LDST_dec31_dec_sub21_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
LDST_dec31_dec_sub21_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
LDST_dec31_dec_sub21_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
LDST_dec31_dec_sub21_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
LDST_dec31_dec_sub21_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
LDST_dec31_dec_sub21_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
LDST_dec31_dec_sub21_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
LDST_dec31_dec_sub21_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
LDST_dec31_dec_sub21_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
LDST_dec31_dec_sub21_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
LDST_dec31_dec_sub21_sgn = 1'h0;
endcase
always @* begin
if (\initial ) begin end
LDST_dec31_dec_sub21_internal_op = 7'h00;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
LDST_dec31_dec_sub21_internal_op = 7'h25;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
LDST_dec31_dec_sub21_internal_op = 7'h25;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
LDST_dec31_dec_sub21_internal_op = 7'h25;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
LDST_dec31_dec_sub21_internal_op = 7'h25;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
LDST_dec31_dec_sub21_internal_op = 7'h25;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
LDST_dec31_dec_sub21_internal_op = 7'h25;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
LDST_dec31_dec_sub21_internal_op = 7'h25;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
LDST_dec31_dec_sub21_internal_op = 7'h25;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
LDST_dec31_dec_sub21_internal_op = 7'h26;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
LDST_dec31_dec_sub21_internal_op = 7'h26;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
LDST_dec31_dec_sub21_internal_op = 7'h26;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
LDST_dec31_dec_sub21_internal_op = 7'h26;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
LDST_dec31_dec_sub21_internal_op = 7'h26;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
LDST_dec31_dec_sub21_internal_op = 7'h26;
endcase
always @* begin
if (\initial ) begin end
LDST_dec31_dec_sub21_SV_Ptype = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
LDST_dec31_dec_sub21_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
LDST_dec31_dec_sub21_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
LDST_dec31_dec_sub21_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
LDST_dec31_dec_sub21_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
LDST_dec31_dec_sub21_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
LDST_dec31_dec_sub21_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
LDST_dec31_dec_sub21_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
LDST_dec31_dec_sub21_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
LDST_dec31_dec_sub21_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
LDST_dec31_dec_sub21_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
LDST_dec31_dec_sub21_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
LDST_dec31_dec_sub21_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
LDST_dec31_dec_sub21_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
LDST_dec31_dec_sub21_SV_Ptype = 2'h2;
endcase
always @* begin
if (\initial ) begin end
LDST_dec31_dec_sub21_in1_sel = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
LDST_dec31_dec_sub21_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
LDST_dec31_dec_sub21_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
LDST_dec31_dec_sub21_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
LDST_dec31_dec_sub21_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
LDST_dec31_dec_sub21_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
LDST_dec31_dec_sub21_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
LDST_dec31_dec_sub21_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
LDST_dec31_dec_sub21_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
LDST_dec31_dec_sub21_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
LDST_dec31_dec_sub21_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
LDST_dec31_dec_sub21_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
LDST_dec31_dec_sub21_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
LDST_dec31_dec_sub21_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
LDST_dec31_dec_sub21_in1_sel = 3'h2;
endcase
always @* begin
if (\initial ) begin end
LDST_dec31_dec_sub21_in2_sel = 4'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
LDST_dec31_dec_sub21_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
LDST_dec31_dec_sub21_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
LDST_dec31_dec_sub21_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
LDST_dec31_dec_sub21_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
LDST_dec31_dec_sub21_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
LDST_dec31_dec_sub21_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
LDST_dec31_dec_sub21_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
LDST_dec31_dec_sub21_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
LDST_dec31_dec_sub21_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
LDST_dec31_dec_sub21_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
LDST_dec31_dec_sub21_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
LDST_dec31_dec_sub21_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
LDST_dec31_dec_sub21_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
LDST_dec31_dec_sub21_in2_sel = 4'h1;
endcase
always @* begin
if (\initial ) begin end
LDST_dec31_dec_sub21_cr_in = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
LDST_dec31_dec_sub21_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
LDST_dec31_dec_sub21_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
LDST_dec31_dec_sub21_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
LDST_dec31_dec_sub21_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
LDST_dec31_dec_sub21_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
LDST_dec31_dec_sub21_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
LDST_dec31_dec_sub21_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
LDST_dec31_dec_sub21_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
LDST_dec31_dec_sub21_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
LDST_dec31_dec_sub21_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
LDST_dec31_dec_sub21_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
LDST_dec31_dec_sub21_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
LDST_dec31_dec_sub21_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
LDST_dec31_dec_sub21_cr_in = 3'h0;
endcase
always @* begin
if (\initial ) begin end
LDST_dec31_dec_sub21_cr_out = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
LDST_dec31_dec_sub21_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
LDST_dec31_dec_sub21_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
LDST_dec31_dec_sub21_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
LDST_dec31_dec_sub21_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
LDST_dec31_dec_sub21_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
LDST_dec31_dec_sub21_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
LDST_dec31_dec_sub21_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
LDST_dec31_dec_sub21_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
LDST_dec31_dec_sub21_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
LDST_dec31_dec_sub21_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
LDST_dec31_dec_sub21_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
LDST_dec31_dec_sub21_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
LDST_dec31_dec_sub21_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
LDST_dec31_dec_sub21_cr_out = 3'h0;
endcase
always @* begin
if (\initial ) begin end
LDST_dec31_dec_sub21_ldst_len = 4'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
LDST_dec31_dec_sub21_ldst_len = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
LDST_dec31_dec_sub21_ldst_len = 4'h8;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
LDST_dec31_dec_sub21_ldst_len = 4'h8;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
LDST_dec31_dec_sub21_ldst_len = 4'h8;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
LDST_dec31_dec_sub21_ldst_len = 4'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
LDST_dec31_dec_sub21_ldst_len = 4'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
LDST_dec31_dec_sub21_ldst_len = 4'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
LDST_dec31_dec_sub21_ldst_len = 4'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
LDST_dec31_dec_sub21_ldst_len = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
LDST_dec31_dec_sub21_ldst_len = 4'h8;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
LDST_dec31_dec_sub21_ldst_len = 4'h8;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
LDST_dec31_dec_sub21_ldst_len = 4'h8;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
LDST_dec31_dec_sub21_ldst_len = 4'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
LDST_dec31_dec_sub21_ldst_len = 4'h4;
endcase
always @* begin
if (\initial ) begin end
LDST_dec31_dec_sub21_upd = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
LDST_dec31_dec_sub21_upd = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
LDST_dec31_dec_sub21_upd = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
LDST_dec31_dec_sub21_upd = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
LDST_dec31_dec_sub21_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
LDST_dec31_dec_sub21_upd = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
LDST_dec31_dec_sub21_upd = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
LDST_dec31_dec_sub21_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
LDST_dec31_dec_sub21_upd = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
LDST_dec31_dec_sub21_upd = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
LDST_dec31_dec_sub21_upd = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
LDST_dec31_dec_sub21_upd = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
LDST_dec31_dec_sub21_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
LDST_dec31_dec_sub21_upd = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
LDST_dec31_dec_sub21_upd = 2'h2;
endcase
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "P1" *)
(* enum_value_10 = "P2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] LDST_dec31_dec_sub22_SV_Ptype;
reg [1:0] LDST_dec31_dec_sub22_SV_Ptype;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output LDST_dec31_dec_sub22_br;
reg LDST_dec31_dec_sub22_br;
(* enum_base_type = "CRInSel" *)
(* enum_value_101 = "BC" *)
(* enum_value_110 = "WHOLE_REG" *)
(* enum_value_111 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] LDST_dec31_dec_sub22_cr_in;
reg [2:0] LDST_dec31_dec_sub22_cr_in;
(* enum_base_type = "CROutSel" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] LDST_dec31_dec_sub22_cr_out;
reg [2:0] LDST_dec31_dec_sub22_cr_out;
(* enum_base_type = "Function" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [14:0] LDST_dec31_dec_sub22_function_unit;
reg [14:0] LDST_dec31_dec_sub22_function_unit;
(* enum_base_type = "In1Sel" *)
(* enum_value_100 = "RS" *)
(* enum_value_101 = "FRA" *)
(* enum_value_110 = "FRS" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] LDST_dec31_dec_sub22_in1_sel;
reg [2:0] LDST_dec31_dec_sub22_in1_sel;
(* enum_base_type = "In2Sel" *)
(* enum_value_1100 = "SPR" *)
(* enum_value_1101 = "RS" *)
(* enum_value_1110 = "FRB" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [3:0] LDST_dec31_dec_sub22_in2_sel;
reg [3:0] LDST_dec31_dec_sub22_in2_sel;
(* enum_base_type = "MicrOp" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [6:0] LDST_dec31_dec_sub22_internal_op;
reg [6:0] LDST_dec31_dec_sub22_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output LDST_dec31_dec_sub22_is_32b;
reg LDST_dec31_dec_sub22_is_32b;
(* enum_base_type = "LdstLen" *)
(* enum_value_0010 = "is2B" *)
(* enum_value_0100 = "is4B" *)
(* enum_value_1000 = "is8B" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [3:0] LDST_dec31_dec_sub22_ldst_len;
reg [3:0] LDST_dec31_dec_sub22_ldst_len;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] LDST_dec31_dec_sub22_rc_sel;
reg [1:0] LDST_dec31_dec_sub22_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output LDST_dec31_dec_sub22_sgn;
reg LDST_dec31_dec_sub22_sgn;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output LDST_dec31_dec_sub22_sgn_ext;
reg LDST_dec31_dec_sub22_sgn_ext;
(* enum_base_type = "LDSTMode" *)
(* enum_value_01 = "update" *)
(* enum_value_10 = "cix" *)
(* enum_value_11 = "cx" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] LDST_dec31_dec_sub22_upd;
reg [1:0] LDST_dec31_dec_sub22_upd;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
input [31:0] opcode_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
wire [4:0] opcode_switch;
always @* begin
if (\initial ) begin end
LDST_dec31_dec_sub22_function_unit = 15'h0000;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
LDST_dec31_dec_sub22_function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
LDST_dec31_dec_sub22_function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
LDST_dec31_dec_sub22_function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
LDST_dec31_dec_sub22_function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
LDST_dec31_dec_sub22_function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
LDST_dec31_dec_sub22_function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
LDST_dec31_dec_sub22_function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
LDST_dec31_dec_sub22_function_unit = 15'h0004;
endcase
always @* begin
if (\initial ) begin end
LDST_dec31_dec_sub22_rc_sel = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
LDST_dec31_dec_sub22_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
LDST_dec31_dec_sub22_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
LDST_dec31_dec_sub22_rc_sel = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
LDST_dec31_dec_sub22_rc_sel = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
LDST_dec31_dec_sub22_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
LDST_dec31_dec_sub22_rc_sel = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
LDST_dec31_dec_sub22_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
LDST_dec31_dec_sub22_rc_sel = 2'h1;
endcase
always @* begin
if (\initial ) begin end
LDST_dec31_dec_sub22_br = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
LDST_dec31_dec_sub22_br = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
LDST_dec31_dec_sub22_br = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
LDST_dec31_dec_sub22_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
LDST_dec31_dec_sub22_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
LDST_dec31_dec_sub22_br = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
LDST_dec31_dec_sub22_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
LDST_dec31_dec_sub22_br = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
LDST_dec31_dec_sub22_br = 1'h0;
endcase
always @* begin
if (\initial ) begin end
LDST_dec31_dec_sub22_sgn_ext = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
LDST_dec31_dec_sub22_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
LDST_dec31_dec_sub22_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
LDST_dec31_dec_sub22_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
LDST_dec31_dec_sub22_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
LDST_dec31_dec_sub22_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
LDST_dec31_dec_sub22_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
LDST_dec31_dec_sub22_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
LDST_dec31_dec_sub22_sgn_ext = 1'h0;
endcase
always @* begin
if (\initial ) begin end
LDST_dec31_dec_sub22_is_32b = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
LDST_dec31_dec_sub22_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
LDST_dec31_dec_sub22_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
LDST_dec31_dec_sub22_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
LDST_dec31_dec_sub22_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
LDST_dec31_dec_sub22_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
LDST_dec31_dec_sub22_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
LDST_dec31_dec_sub22_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
LDST_dec31_dec_sub22_is_32b = 1'h0;
endcase
always @* begin
if (\initial ) begin end
LDST_dec31_dec_sub22_sgn = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
LDST_dec31_dec_sub22_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
LDST_dec31_dec_sub22_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
LDST_dec31_dec_sub22_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
LDST_dec31_dec_sub22_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
LDST_dec31_dec_sub22_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
LDST_dec31_dec_sub22_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
LDST_dec31_dec_sub22_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
LDST_dec31_dec_sub22_sgn = 1'h0;
endcase
always @* begin
if (\initial ) begin end
LDST_dec31_dec_sub22_internal_op = 7'h00;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
LDST_dec31_dec_sub22_internal_op = 7'h25;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
LDST_dec31_dec_sub22_internal_op = 7'h25;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
LDST_dec31_dec_sub22_internal_op = 7'h26;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
LDST_dec31_dec_sub22_internal_op = 7'h26;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
LDST_dec31_dec_sub22_internal_op = 7'h26;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
LDST_dec31_dec_sub22_internal_op = 7'h26;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
LDST_dec31_dec_sub22_internal_op = 7'h26;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
LDST_dec31_dec_sub22_internal_op = 7'h26;
endcase
always @* begin
if (\initial ) begin end
LDST_dec31_dec_sub22_SV_Ptype = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
LDST_dec31_dec_sub22_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
LDST_dec31_dec_sub22_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
LDST_dec31_dec_sub22_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
LDST_dec31_dec_sub22_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
LDST_dec31_dec_sub22_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
LDST_dec31_dec_sub22_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
LDST_dec31_dec_sub22_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
LDST_dec31_dec_sub22_SV_Ptype = 2'h2;
endcase
always @* begin
if (\initial ) begin end
LDST_dec31_dec_sub22_in1_sel = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
LDST_dec31_dec_sub22_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
LDST_dec31_dec_sub22_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
LDST_dec31_dec_sub22_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
LDST_dec31_dec_sub22_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
LDST_dec31_dec_sub22_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
LDST_dec31_dec_sub22_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
LDST_dec31_dec_sub22_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
LDST_dec31_dec_sub22_in1_sel = 3'h2;
endcase
always @* begin
if (\initial ) begin end
LDST_dec31_dec_sub22_in2_sel = 4'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
LDST_dec31_dec_sub22_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
LDST_dec31_dec_sub22_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
LDST_dec31_dec_sub22_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
LDST_dec31_dec_sub22_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
LDST_dec31_dec_sub22_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
LDST_dec31_dec_sub22_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
LDST_dec31_dec_sub22_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
LDST_dec31_dec_sub22_in2_sel = 4'h1;
endcase
always @* begin
if (\initial ) begin end
LDST_dec31_dec_sub22_cr_in = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
LDST_dec31_dec_sub22_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
LDST_dec31_dec_sub22_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
LDST_dec31_dec_sub22_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
LDST_dec31_dec_sub22_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
LDST_dec31_dec_sub22_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
LDST_dec31_dec_sub22_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
LDST_dec31_dec_sub22_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
LDST_dec31_dec_sub22_cr_in = 3'h0;
endcase
always @* begin
if (\initial ) begin end
LDST_dec31_dec_sub22_cr_out = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
LDST_dec31_dec_sub22_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
LDST_dec31_dec_sub22_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
LDST_dec31_dec_sub22_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
LDST_dec31_dec_sub22_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
LDST_dec31_dec_sub22_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
LDST_dec31_dec_sub22_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
LDST_dec31_dec_sub22_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
LDST_dec31_dec_sub22_cr_out = 3'h1;
endcase
always @* begin
if (\initial ) begin end
LDST_dec31_dec_sub22_ldst_len = 4'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
LDST_dec31_dec_sub22_ldst_len = 4'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
LDST_dec31_dec_sub22_ldst_len = 4'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
LDST_dec31_dec_sub22_ldst_len = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
LDST_dec31_dec_sub22_ldst_len = 4'h8;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
LDST_dec31_dec_sub22_ldst_len = 4'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
LDST_dec31_dec_sub22_ldst_len = 4'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
LDST_dec31_dec_sub22_ldst_len = 4'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
LDST_dec31_dec_sub22_ldst_len = 4'h4;
endcase
always @* begin
if (\initial ) begin end
LDST_dec31_dec_sub22_upd = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
LDST_dec31_dec_sub22_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
LDST_dec31_dec_sub22_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
LDST_dec31_dec_sub22_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
LDST_dec31_dec_sub22_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
LDST_dec31_dec_sub22_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
LDST_dec31_dec_sub22_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
LDST_dec31_dec_sub22_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
LDST_dec31_dec_sub22_upd = 2'h0;
endcase
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "P1" *)
(* enum_value_10 = "P2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] LDST_dec31_dec_sub23_SV_Ptype;
reg [1:0] LDST_dec31_dec_sub23_SV_Ptype;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output LDST_dec31_dec_sub23_br;
reg LDST_dec31_dec_sub23_br;
(* enum_base_type = "CRInSel" *)
(* enum_value_101 = "BC" *)
(* enum_value_110 = "WHOLE_REG" *)
(* enum_value_111 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] LDST_dec31_dec_sub23_cr_in;
reg [2:0] LDST_dec31_dec_sub23_cr_in;
(* enum_base_type = "CROutSel" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] LDST_dec31_dec_sub23_cr_out;
reg [2:0] LDST_dec31_dec_sub23_cr_out;
(* enum_base_type = "Function" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [14:0] LDST_dec31_dec_sub23_function_unit;
reg [14:0] LDST_dec31_dec_sub23_function_unit;
(* enum_base_type = "In1Sel" *)
(* enum_value_100 = "RS" *)
(* enum_value_101 = "FRA" *)
(* enum_value_110 = "FRS" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] LDST_dec31_dec_sub23_in1_sel;
reg [2:0] LDST_dec31_dec_sub23_in1_sel;
(* enum_base_type = "In2Sel" *)
(* enum_value_1100 = "SPR" *)
(* enum_value_1101 = "RS" *)
(* enum_value_1110 = "FRB" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [3:0] LDST_dec31_dec_sub23_in2_sel;
reg [3:0] LDST_dec31_dec_sub23_in2_sel;
(* enum_base_type = "MicrOp" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [6:0] LDST_dec31_dec_sub23_internal_op;
reg [6:0] LDST_dec31_dec_sub23_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output LDST_dec31_dec_sub23_is_32b;
reg LDST_dec31_dec_sub23_is_32b;
(* enum_base_type = "LdstLen" *)
(* enum_value_0010 = "is2B" *)
(* enum_value_0100 = "is4B" *)
(* enum_value_1000 = "is8B" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [3:0] LDST_dec31_dec_sub23_ldst_len;
reg [3:0] LDST_dec31_dec_sub23_ldst_len;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] LDST_dec31_dec_sub23_rc_sel;
reg [1:0] LDST_dec31_dec_sub23_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output LDST_dec31_dec_sub23_sgn;
reg LDST_dec31_dec_sub23_sgn;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output LDST_dec31_dec_sub23_sgn_ext;
reg LDST_dec31_dec_sub23_sgn_ext;
(* enum_base_type = "LDSTMode" *)
(* enum_value_01 = "update" *)
(* enum_value_10 = "cix" *)
(* enum_value_11 = "cx" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] LDST_dec31_dec_sub23_upd;
reg [1:0] LDST_dec31_dec_sub23_upd;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
input [31:0] opcode_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
wire [4:0] opcode_switch;
always @* begin
if (\initial ) begin end
LDST_dec31_dec_sub23_function_unit = 15'h0000;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
LDST_dec31_dec_sub23_function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
LDST_dec31_dec_sub23_function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
LDST_dec31_dec_sub23_function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
LDST_dec31_dec_sub23_function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
LDST_dec31_dec_sub23_function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
LDST_dec31_dec_sub23_function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
LDST_dec31_dec_sub23_function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
LDST_dec31_dec_sub23_function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
LDST_dec31_dec_sub23_function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
LDST_dec31_dec_sub23_function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
LDST_dec31_dec_sub23_function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
LDST_dec31_dec_sub23_function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
LDST_dec31_dec_sub23_function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
LDST_dec31_dec_sub23_function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
LDST_dec31_dec_sub23_function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
LDST_dec31_dec_sub23_function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
LDST_dec31_dec_sub23_function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
LDST_dec31_dec_sub23_function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
LDST_dec31_dec_sub23_function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
LDST_dec31_dec_sub23_function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
LDST_dec31_dec_sub23_function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
LDST_dec31_dec_sub23_function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
LDST_dec31_dec_sub23_function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
LDST_dec31_dec_sub23_function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
LDST_dec31_dec_sub23_function_unit = 15'h0004;
endcase
always @* begin
if (\initial ) begin end
LDST_dec31_dec_sub23_rc_sel = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
LDST_dec31_dec_sub23_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
LDST_dec31_dec_sub23_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
LDST_dec31_dec_sub23_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
LDST_dec31_dec_sub23_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
LDST_dec31_dec_sub23_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
LDST_dec31_dec_sub23_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
LDST_dec31_dec_sub23_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
LDST_dec31_dec_sub23_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
LDST_dec31_dec_sub23_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
LDST_dec31_dec_sub23_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
LDST_dec31_dec_sub23_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
LDST_dec31_dec_sub23_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
LDST_dec31_dec_sub23_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
LDST_dec31_dec_sub23_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
LDST_dec31_dec_sub23_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
LDST_dec31_dec_sub23_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
LDST_dec31_dec_sub23_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
LDST_dec31_dec_sub23_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
LDST_dec31_dec_sub23_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
LDST_dec31_dec_sub23_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
LDST_dec31_dec_sub23_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
LDST_dec31_dec_sub23_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
LDST_dec31_dec_sub23_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
LDST_dec31_dec_sub23_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
LDST_dec31_dec_sub23_rc_sel = 2'h0;
endcase
always @* begin
if (\initial ) begin end
LDST_dec31_dec_sub23_br = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
LDST_dec31_dec_sub23_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
LDST_dec31_dec_sub23_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
LDST_dec31_dec_sub23_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
LDST_dec31_dec_sub23_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
LDST_dec31_dec_sub23_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
LDST_dec31_dec_sub23_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
LDST_dec31_dec_sub23_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
LDST_dec31_dec_sub23_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
LDST_dec31_dec_sub23_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
LDST_dec31_dec_sub23_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
LDST_dec31_dec_sub23_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
LDST_dec31_dec_sub23_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
LDST_dec31_dec_sub23_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
LDST_dec31_dec_sub23_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
LDST_dec31_dec_sub23_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
LDST_dec31_dec_sub23_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
LDST_dec31_dec_sub23_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
LDST_dec31_dec_sub23_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
LDST_dec31_dec_sub23_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
LDST_dec31_dec_sub23_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
LDST_dec31_dec_sub23_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
LDST_dec31_dec_sub23_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
LDST_dec31_dec_sub23_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
LDST_dec31_dec_sub23_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
LDST_dec31_dec_sub23_br = 1'h0;
endcase
always @* begin
if (\initial ) begin end
LDST_dec31_dec_sub23_sgn_ext = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
LDST_dec31_dec_sub23_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
LDST_dec31_dec_sub23_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
LDST_dec31_dec_sub23_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
LDST_dec31_dec_sub23_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
LDST_dec31_dec_sub23_sgn_ext = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
LDST_dec31_dec_sub23_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
LDST_dec31_dec_sub23_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
LDST_dec31_dec_sub23_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
LDST_dec31_dec_sub23_sgn_ext = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
LDST_dec31_dec_sub23_sgn_ext = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
LDST_dec31_dec_sub23_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
LDST_dec31_dec_sub23_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
LDST_dec31_dec_sub23_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
LDST_dec31_dec_sub23_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
LDST_dec31_dec_sub23_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
LDST_dec31_dec_sub23_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
LDST_dec31_dec_sub23_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
LDST_dec31_dec_sub23_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
LDST_dec31_dec_sub23_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
LDST_dec31_dec_sub23_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
LDST_dec31_dec_sub23_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
LDST_dec31_dec_sub23_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
LDST_dec31_dec_sub23_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
LDST_dec31_dec_sub23_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
LDST_dec31_dec_sub23_sgn_ext = 1'h0;
endcase
always @* begin
if (\initial ) begin end
LDST_dec31_dec_sub23_is_32b = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
LDST_dec31_dec_sub23_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
LDST_dec31_dec_sub23_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
LDST_dec31_dec_sub23_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
LDST_dec31_dec_sub23_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
LDST_dec31_dec_sub23_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
LDST_dec31_dec_sub23_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
LDST_dec31_dec_sub23_is_32b = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
LDST_dec31_dec_sub23_is_32b = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
LDST_dec31_dec_sub23_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
LDST_dec31_dec_sub23_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
LDST_dec31_dec_sub23_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
LDST_dec31_dec_sub23_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
LDST_dec31_dec_sub23_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
LDST_dec31_dec_sub23_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
LDST_dec31_dec_sub23_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
LDST_dec31_dec_sub23_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
LDST_dec31_dec_sub23_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
LDST_dec31_dec_sub23_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
LDST_dec31_dec_sub23_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
LDST_dec31_dec_sub23_is_32b = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
LDST_dec31_dec_sub23_is_32b = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
LDST_dec31_dec_sub23_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
LDST_dec31_dec_sub23_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
LDST_dec31_dec_sub23_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
LDST_dec31_dec_sub23_is_32b = 1'h0;
endcase
always @* begin
if (\initial ) begin end
LDST_dec31_dec_sub23_sgn = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
LDST_dec31_dec_sub23_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
LDST_dec31_dec_sub23_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
LDST_dec31_dec_sub23_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
LDST_dec31_dec_sub23_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
LDST_dec31_dec_sub23_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
LDST_dec31_dec_sub23_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
LDST_dec31_dec_sub23_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
LDST_dec31_dec_sub23_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
LDST_dec31_dec_sub23_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
LDST_dec31_dec_sub23_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
LDST_dec31_dec_sub23_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
LDST_dec31_dec_sub23_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
LDST_dec31_dec_sub23_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
LDST_dec31_dec_sub23_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
LDST_dec31_dec_sub23_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
LDST_dec31_dec_sub23_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
LDST_dec31_dec_sub23_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
LDST_dec31_dec_sub23_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
LDST_dec31_dec_sub23_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
LDST_dec31_dec_sub23_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
LDST_dec31_dec_sub23_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
LDST_dec31_dec_sub23_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
LDST_dec31_dec_sub23_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
LDST_dec31_dec_sub23_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
LDST_dec31_dec_sub23_sgn = 1'h0;
endcase
always @* begin
if (\initial ) begin end
LDST_dec31_dec_sub23_internal_op = 7'h00;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
LDST_dec31_dec_sub23_internal_op = 7'h25;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
LDST_dec31_dec_sub23_internal_op = 7'h25;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
LDST_dec31_dec_sub23_internal_op = 7'h25;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
LDST_dec31_dec_sub23_internal_op = 7'h25;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
LDST_dec31_dec_sub23_internal_op = 7'h25;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
LDST_dec31_dec_sub23_internal_op = 7'h25;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
LDST_dec31_dec_sub23_internal_op = 7'h25;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
LDST_dec31_dec_sub23_internal_op = 7'h25;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
LDST_dec31_dec_sub23_internal_op = 7'h25;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
LDST_dec31_dec_sub23_internal_op = 7'h25;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
LDST_dec31_dec_sub23_internal_op = 7'h25;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
LDST_dec31_dec_sub23_internal_op = 7'h25;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
LDST_dec31_dec_sub23_internal_op = 7'h25;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
LDST_dec31_dec_sub23_internal_op = 7'h25;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
LDST_dec31_dec_sub23_internal_op = 7'h26;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
LDST_dec31_dec_sub23_internal_op = 7'h26;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
LDST_dec31_dec_sub23_internal_op = 7'h26;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
LDST_dec31_dec_sub23_internal_op = 7'h26;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
LDST_dec31_dec_sub23_internal_op = 7'h26;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
LDST_dec31_dec_sub23_internal_op = 7'h26;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
LDST_dec31_dec_sub23_internal_op = 7'h26;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
LDST_dec31_dec_sub23_internal_op = 7'h26;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
LDST_dec31_dec_sub23_internal_op = 7'h26;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
LDST_dec31_dec_sub23_internal_op = 7'h26;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
LDST_dec31_dec_sub23_internal_op = 7'h26;
endcase
always @* begin
if (\initial ) begin end
LDST_dec31_dec_sub23_SV_Ptype = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
LDST_dec31_dec_sub23_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
LDST_dec31_dec_sub23_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
LDST_dec31_dec_sub23_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
LDST_dec31_dec_sub23_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
LDST_dec31_dec_sub23_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
LDST_dec31_dec_sub23_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
LDST_dec31_dec_sub23_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
LDST_dec31_dec_sub23_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
LDST_dec31_dec_sub23_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
LDST_dec31_dec_sub23_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
LDST_dec31_dec_sub23_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
LDST_dec31_dec_sub23_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
LDST_dec31_dec_sub23_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
LDST_dec31_dec_sub23_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
LDST_dec31_dec_sub23_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
LDST_dec31_dec_sub23_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
LDST_dec31_dec_sub23_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
LDST_dec31_dec_sub23_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
LDST_dec31_dec_sub23_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
LDST_dec31_dec_sub23_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
LDST_dec31_dec_sub23_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
LDST_dec31_dec_sub23_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
LDST_dec31_dec_sub23_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
LDST_dec31_dec_sub23_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
LDST_dec31_dec_sub23_SV_Ptype = 2'h2;
endcase
always @* begin
if (\initial ) begin end
LDST_dec31_dec_sub23_in1_sel = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
LDST_dec31_dec_sub23_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
LDST_dec31_dec_sub23_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
LDST_dec31_dec_sub23_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
LDST_dec31_dec_sub23_in1_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
LDST_dec31_dec_sub23_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
LDST_dec31_dec_sub23_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
LDST_dec31_dec_sub23_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
LDST_dec31_dec_sub23_in1_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
LDST_dec31_dec_sub23_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
LDST_dec31_dec_sub23_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
LDST_dec31_dec_sub23_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
LDST_dec31_dec_sub23_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
LDST_dec31_dec_sub23_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
LDST_dec31_dec_sub23_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
LDST_dec31_dec_sub23_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
LDST_dec31_dec_sub23_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
LDST_dec31_dec_sub23_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
LDST_dec31_dec_sub23_in1_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
LDST_dec31_dec_sub23_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
LDST_dec31_dec_sub23_in1_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
LDST_dec31_dec_sub23_in1_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
LDST_dec31_dec_sub23_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
LDST_dec31_dec_sub23_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
LDST_dec31_dec_sub23_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
LDST_dec31_dec_sub23_in1_sel = 3'h2;
endcase
always @* begin
if (\initial ) begin end
LDST_dec31_dec_sub23_in2_sel = 4'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
LDST_dec31_dec_sub23_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
LDST_dec31_dec_sub23_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
LDST_dec31_dec_sub23_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
LDST_dec31_dec_sub23_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
LDST_dec31_dec_sub23_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
LDST_dec31_dec_sub23_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
LDST_dec31_dec_sub23_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
LDST_dec31_dec_sub23_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
LDST_dec31_dec_sub23_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
LDST_dec31_dec_sub23_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
LDST_dec31_dec_sub23_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
LDST_dec31_dec_sub23_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
LDST_dec31_dec_sub23_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
LDST_dec31_dec_sub23_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
LDST_dec31_dec_sub23_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
LDST_dec31_dec_sub23_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
LDST_dec31_dec_sub23_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
LDST_dec31_dec_sub23_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
LDST_dec31_dec_sub23_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
LDST_dec31_dec_sub23_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
LDST_dec31_dec_sub23_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
LDST_dec31_dec_sub23_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
LDST_dec31_dec_sub23_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
LDST_dec31_dec_sub23_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
LDST_dec31_dec_sub23_in2_sel = 4'h1;
endcase
always @* begin
if (\initial ) begin end
LDST_dec31_dec_sub23_cr_in = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
LDST_dec31_dec_sub23_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
LDST_dec31_dec_sub23_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
LDST_dec31_dec_sub23_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
LDST_dec31_dec_sub23_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
LDST_dec31_dec_sub23_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
LDST_dec31_dec_sub23_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
LDST_dec31_dec_sub23_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
LDST_dec31_dec_sub23_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
LDST_dec31_dec_sub23_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
LDST_dec31_dec_sub23_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
LDST_dec31_dec_sub23_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
LDST_dec31_dec_sub23_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
LDST_dec31_dec_sub23_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
LDST_dec31_dec_sub23_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
LDST_dec31_dec_sub23_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
LDST_dec31_dec_sub23_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
LDST_dec31_dec_sub23_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
LDST_dec31_dec_sub23_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
LDST_dec31_dec_sub23_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
LDST_dec31_dec_sub23_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
LDST_dec31_dec_sub23_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
LDST_dec31_dec_sub23_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
LDST_dec31_dec_sub23_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
LDST_dec31_dec_sub23_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
LDST_dec31_dec_sub23_cr_in = 3'h0;
endcase
always @* begin
if (\initial ) begin end
LDST_dec31_dec_sub23_cr_out = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
LDST_dec31_dec_sub23_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
LDST_dec31_dec_sub23_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
LDST_dec31_dec_sub23_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
LDST_dec31_dec_sub23_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
LDST_dec31_dec_sub23_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
LDST_dec31_dec_sub23_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
LDST_dec31_dec_sub23_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
LDST_dec31_dec_sub23_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
LDST_dec31_dec_sub23_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
LDST_dec31_dec_sub23_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
LDST_dec31_dec_sub23_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
LDST_dec31_dec_sub23_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
LDST_dec31_dec_sub23_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
LDST_dec31_dec_sub23_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
LDST_dec31_dec_sub23_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
LDST_dec31_dec_sub23_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
LDST_dec31_dec_sub23_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
LDST_dec31_dec_sub23_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
LDST_dec31_dec_sub23_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
LDST_dec31_dec_sub23_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
LDST_dec31_dec_sub23_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
LDST_dec31_dec_sub23_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
LDST_dec31_dec_sub23_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
LDST_dec31_dec_sub23_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
LDST_dec31_dec_sub23_cr_out = 3'h0;
endcase
always @* begin
if (\initial ) begin end
LDST_dec31_dec_sub23_ldst_len = 4'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
LDST_dec31_dec_sub23_ldst_len = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
LDST_dec31_dec_sub23_ldst_len = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
LDST_dec31_dec_sub23_ldst_len = 4'h8;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
LDST_dec31_dec_sub23_ldst_len = 4'h8;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
LDST_dec31_dec_sub23_ldst_len = 4'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
LDST_dec31_dec_sub23_ldst_len = 4'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
LDST_dec31_dec_sub23_ldst_len = 4'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
LDST_dec31_dec_sub23_ldst_len = 4'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
LDST_dec31_dec_sub23_ldst_len = 4'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
LDST_dec31_dec_sub23_ldst_len = 4'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
LDST_dec31_dec_sub23_ldst_len = 4'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
LDST_dec31_dec_sub23_ldst_len = 4'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
LDST_dec31_dec_sub23_ldst_len = 4'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
LDST_dec31_dec_sub23_ldst_len = 4'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
LDST_dec31_dec_sub23_ldst_len = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
LDST_dec31_dec_sub23_ldst_len = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
LDST_dec31_dec_sub23_ldst_len = 4'h8;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
LDST_dec31_dec_sub23_ldst_len = 4'h8;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
LDST_dec31_dec_sub23_ldst_len = 4'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
LDST_dec31_dec_sub23_ldst_len = 4'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
LDST_dec31_dec_sub23_ldst_len = 4'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
LDST_dec31_dec_sub23_ldst_len = 4'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
LDST_dec31_dec_sub23_ldst_len = 4'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
LDST_dec31_dec_sub23_ldst_len = 4'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
LDST_dec31_dec_sub23_ldst_len = 4'h4;
endcase
always @* begin
if (\initial ) begin end
LDST_dec31_dec_sub23_upd = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
LDST_dec31_dec_sub23_upd = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
LDST_dec31_dec_sub23_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
LDST_dec31_dec_sub23_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
LDST_dec31_dec_sub23_upd = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
LDST_dec31_dec_sub23_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
LDST_dec31_dec_sub23_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
LDST_dec31_dec_sub23_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
LDST_dec31_dec_sub23_upd = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
LDST_dec31_dec_sub23_upd = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
LDST_dec31_dec_sub23_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
LDST_dec31_dec_sub23_upd = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
LDST_dec31_dec_sub23_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
LDST_dec31_dec_sub23_upd = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
LDST_dec31_dec_sub23_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
LDST_dec31_dec_sub23_upd = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
LDST_dec31_dec_sub23_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
LDST_dec31_dec_sub23_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
LDST_dec31_dec_sub23_upd = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
LDST_dec31_dec_sub23_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
LDST_dec31_dec_sub23_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
LDST_dec31_dec_sub23_upd = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
LDST_dec31_dec_sub23_upd = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
LDST_dec31_dec_sub23_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
LDST_dec31_dec_sub23_upd = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
LDST_dec31_dec_sub23_upd = 2'h0;
endcase
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "P1" *)
(* enum_value_10 = "P2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] LDST_dec58_SV_Ptype;
reg [1:0] LDST_dec58_SV_Ptype;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output LDST_dec58_br;
reg LDST_dec58_br;
(* enum_base_type = "CRInSel" *)
(* enum_value_101 = "BC" *)
(* enum_value_110 = "WHOLE_REG" *)
(* enum_value_111 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] LDST_dec58_cr_in;
reg [2:0] LDST_dec58_cr_in;
(* enum_base_type = "CROutSel" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] LDST_dec58_cr_out;
reg [2:0] LDST_dec58_cr_out;
(* enum_base_type = "Function" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [14:0] LDST_dec58_function_unit;
reg [14:0] LDST_dec58_function_unit;
(* enum_base_type = "In1Sel" *)
(* enum_value_100 = "RS" *)
(* enum_value_101 = "FRA" *)
(* enum_value_110 = "FRS" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] LDST_dec58_in1_sel;
reg [2:0] LDST_dec58_in1_sel;
(* enum_base_type = "In2Sel" *)
(* enum_value_1100 = "SPR" *)
(* enum_value_1101 = "RS" *)
(* enum_value_1110 = "FRB" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [3:0] LDST_dec58_in2_sel;
reg [3:0] LDST_dec58_in2_sel;
(* enum_base_type = "MicrOp" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [6:0] LDST_dec58_internal_op;
reg [6:0] LDST_dec58_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output LDST_dec58_is_32b;
reg LDST_dec58_is_32b;
(* enum_base_type = "LdstLen" *)
(* enum_value_0010 = "is2B" *)
(* enum_value_0100 = "is4B" *)
(* enum_value_1000 = "is8B" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [3:0] LDST_dec58_ldst_len;
reg [3:0] LDST_dec58_ldst_len;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] LDST_dec58_rc_sel;
reg [1:0] LDST_dec58_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output LDST_dec58_sgn;
reg LDST_dec58_sgn;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output LDST_dec58_sgn_ext;
reg LDST_dec58_sgn_ext;
(* enum_base_type = "LDSTMode" *)
(* enum_value_01 = "update" *)
(* enum_value_10 = "cix" *)
(* enum_value_11 = "cx" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] LDST_dec58_upd;
reg [1:0] LDST_dec58_upd;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
input [31:0] opcode_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
wire [1:0] opcode_switch;
always @* begin
if (\initial ) begin end
LDST_dec58_function_unit = 15'h0000;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h0:
LDST_dec58_function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h1:
LDST_dec58_function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h2:
LDST_dec58_function_unit = 15'h0004;
endcase
always @* begin
if (\initial ) begin end
LDST_dec58_rc_sel = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h0:
LDST_dec58_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h1:
LDST_dec58_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h2:
LDST_dec58_rc_sel = 2'h0;
endcase
always @* begin
if (\initial ) begin end
LDST_dec58_br = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h0:
LDST_dec58_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h1:
LDST_dec58_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h2:
LDST_dec58_br = 1'h0;
endcase
always @* begin
if (\initial ) begin end
LDST_dec58_sgn_ext = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h0:
LDST_dec58_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h1:
LDST_dec58_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h2:
LDST_dec58_sgn_ext = 1'h1;
endcase
always @* begin
if (\initial ) begin end
LDST_dec58_is_32b = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h0:
LDST_dec58_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h1:
LDST_dec58_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h2:
LDST_dec58_is_32b = 1'h0;
endcase
always @* begin
if (\initial ) begin end
LDST_dec58_sgn = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h0:
LDST_dec58_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h1:
LDST_dec58_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h2:
LDST_dec58_sgn = 1'h0;
endcase
always @* begin
if (\initial ) begin end
LDST_dec58_internal_op = 7'h00;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h0:
LDST_dec58_internal_op = 7'h25;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h1:
LDST_dec58_internal_op = 7'h25;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h2:
LDST_dec58_internal_op = 7'h25;
endcase
always @* begin
if (\initial ) begin end
LDST_dec58_SV_Ptype = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h0:
LDST_dec58_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h1:
LDST_dec58_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h2:
LDST_dec58_SV_Ptype = 2'h2;
endcase
always @* begin
if (\initial ) begin end
LDST_dec58_in1_sel = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h0:
LDST_dec58_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h1:
LDST_dec58_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h2:
LDST_dec58_in1_sel = 3'h2;
endcase
always @* begin
if (\initial ) begin end
LDST_dec58_in2_sel = 4'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h0:
LDST_dec58_in2_sel = 4'h8;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h1:
LDST_dec58_in2_sel = 4'h8;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h2:
LDST_dec58_in2_sel = 4'h8;
endcase
always @* begin
if (\initial ) begin end
LDST_dec58_cr_in = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h0:
LDST_dec58_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h1:
LDST_dec58_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h2:
LDST_dec58_cr_in = 3'h0;
endcase
always @* begin
if (\initial ) begin end
LDST_dec58_cr_out = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h0:
LDST_dec58_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h1:
LDST_dec58_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h2:
LDST_dec58_cr_out = 3'h0;
endcase
always @* begin
if (\initial ) begin end
LDST_dec58_ldst_len = 4'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h0:
LDST_dec58_ldst_len = 4'h8;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h1:
LDST_dec58_ldst_len = 4'h8;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h2:
LDST_dec58_ldst_len = 4'h4;
endcase
always @* begin
if (\initial ) begin end
LDST_dec58_upd = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h0:
LDST_dec58_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h1:
LDST_dec58_upd = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h2:
LDST_dec58_upd = 2'h0;
endcase
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "P1" *)
(* enum_value_10 = "P2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] LDST_dec62_SV_Ptype;
reg [1:0] LDST_dec62_SV_Ptype;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output LDST_dec62_br;
reg LDST_dec62_br;
(* enum_base_type = "CRInSel" *)
(* enum_value_101 = "BC" *)
(* enum_value_110 = "WHOLE_REG" *)
(* enum_value_111 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] LDST_dec62_cr_in;
reg [2:0] LDST_dec62_cr_in;
(* enum_base_type = "CROutSel" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] LDST_dec62_cr_out;
reg [2:0] LDST_dec62_cr_out;
(* enum_base_type = "Function" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [14:0] LDST_dec62_function_unit;
reg [14:0] LDST_dec62_function_unit;
(* enum_base_type = "In1Sel" *)
(* enum_value_100 = "RS" *)
(* enum_value_101 = "FRA" *)
(* enum_value_110 = "FRS" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] LDST_dec62_in1_sel;
reg [2:0] LDST_dec62_in1_sel;
(* enum_base_type = "In2Sel" *)
(* enum_value_1100 = "SPR" *)
(* enum_value_1101 = "RS" *)
(* enum_value_1110 = "FRB" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [3:0] LDST_dec62_in2_sel;
reg [3:0] LDST_dec62_in2_sel;
(* enum_base_type = "MicrOp" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [6:0] LDST_dec62_internal_op;
reg [6:0] LDST_dec62_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output LDST_dec62_is_32b;
reg LDST_dec62_is_32b;
(* enum_base_type = "LdstLen" *)
(* enum_value_0010 = "is2B" *)
(* enum_value_0100 = "is4B" *)
(* enum_value_1000 = "is8B" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [3:0] LDST_dec62_ldst_len;
reg [3:0] LDST_dec62_ldst_len;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] LDST_dec62_rc_sel;
reg [1:0] LDST_dec62_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output LDST_dec62_sgn;
reg LDST_dec62_sgn;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output LDST_dec62_sgn_ext;
reg LDST_dec62_sgn_ext;
(* enum_base_type = "LDSTMode" *)
(* enum_value_01 = "update" *)
(* enum_value_10 = "cix" *)
(* enum_value_11 = "cx" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] LDST_dec62_upd;
reg [1:0] LDST_dec62_upd;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
input [31:0] opcode_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
wire [1:0] opcode_switch;
always @* begin
if (\initial ) begin end
LDST_dec62_function_unit = 15'h0000;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h0:
LDST_dec62_function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h1:
LDST_dec62_function_unit = 15'h0004;
endcase
always @* begin
if (\initial ) begin end
LDST_dec62_rc_sel = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h0:
LDST_dec62_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h1:
LDST_dec62_rc_sel = 2'h0;
endcase
always @* begin
if (\initial ) begin end
LDST_dec62_br = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h0:
LDST_dec62_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h1:
LDST_dec62_br = 1'h0;
endcase
always @* begin
if (\initial ) begin end
LDST_dec62_sgn_ext = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h0:
LDST_dec62_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h1:
LDST_dec62_sgn_ext = 1'h0;
endcase
always @* begin
if (\initial ) begin end
LDST_dec62_is_32b = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h0:
LDST_dec62_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h1:
LDST_dec62_is_32b = 1'h0;
endcase
always @* begin
if (\initial ) begin end
LDST_dec62_sgn = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h0:
LDST_dec62_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h1:
LDST_dec62_sgn = 1'h0;
endcase
always @* begin
if (\initial ) begin end
LDST_dec62_internal_op = 7'h00;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h0:
LDST_dec62_internal_op = 7'h26;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h1:
LDST_dec62_internal_op = 7'h26;
endcase
always @* begin
if (\initial ) begin end
LDST_dec62_SV_Ptype = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h0:
LDST_dec62_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h1:
LDST_dec62_SV_Ptype = 2'h2;
endcase
always @* begin
if (\initial ) begin end
LDST_dec62_in1_sel = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h0:
LDST_dec62_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h1:
LDST_dec62_in1_sel = 3'h2;
endcase
always @* begin
if (\initial ) begin end
LDST_dec62_in2_sel = 4'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h0:
LDST_dec62_in2_sel = 4'h8;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h1:
LDST_dec62_in2_sel = 4'h8;
endcase
always @* begin
if (\initial ) begin end
LDST_dec62_cr_in = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h0:
LDST_dec62_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h1:
LDST_dec62_cr_in = 3'h0;
endcase
always @* begin
if (\initial ) begin end
LDST_dec62_cr_out = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h0:
LDST_dec62_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h1:
LDST_dec62_cr_out = 3'h0;
endcase
always @* begin
if (\initial ) begin end
LDST_dec62_ldst_len = 4'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h0:
LDST_dec62_ldst_len = 4'h8;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h1:
LDST_dec62_ldst_len = 4'h8;
endcase
always @* begin
if (\initial ) begin end
LDST_dec62_upd = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h0:
LDST_dec62_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h1:
LDST_dec62_upd = 2'h1;
endcase
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "P1" *)
(* enum_value_10 = "P2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] LOGICAL_dec31_SV_Ptype;
reg [1:0] LOGICAL_dec31_SV_Ptype;
(* enum_base_type = "CRInSel" *)
(* enum_value_101 = "BC" *)
(* enum_value_110 = "WHOLE_REG" *)
(* enum_value_111 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] LOGICAL_dec31_cr_in;
reg [2:0] LOGICAL_dec31_cr_in;
(* enum_base_type = "CROutSel" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] LOGICAL_dec31_cr_out;
reg [2:0] LOGICAL_dec31_cr_out;
(* enum_base_type = "CryIn" *)
(* enum_value_00 = "ZERO" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "CA" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] LOGICAL_dec31_cry_in;
reg [1:0] LOGICAL_dec31_cry_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output LOGICAL_dec31_cry_out;
reg LOGICAL_dec31_cry_out;
(* enum_base_type = "SVPtype" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "P1" *)
(* enum_value_10 = "P2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] LOGICAL_dec31_dec_sub26_LOGICAL_dec31_dec_sub26_SV_Ptype;
(* enum_base_type = "CRInSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_101 = "BC" *)
(* enum_value_110 = "WHOLE_REG" *)
(* enum_value_111 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] LOGICAL_dec31_dec_sub26_LOGICAL_dec31_dec_sub26_cr_in;
(* enum_base_type = "CROutSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] LOGICAL_dec31_dec_sub26_LOGICAL_dec31_dec_sub26_cr_out;
(* enum_base_type = "CryIn" *)
(* enum_value_00 = "ZERO" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "CA" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] LOGICAL_dec31_dec_sub26_LOGICAL_dec31_dec_sub26_cry_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire LOGICAL_dec31_dec_sub26_LOGICAL_dec31_dec_sub26_cry_out;
(* enum_base_type = "Function" *)
(* enum_value_000000000000000 = "NONE" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [14:0] LOGICAL_dec31_dec_sub26_LOGICAL_dec31_dec_sub26_function_unit;
(* enum_base_type = "In1Sel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_100 = "RS" *)
(* enum_value_101 = "FRA" *)
(* enum_value_110 = "FRS" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] LOGICAL_dec31_dec_sub26_LOGICAL_dec31_dec_sub26_in1_sel;
(* enum_base_type = "In2Sel" *)
(* enum_value_0000 = "NONE" *)
(* enum_value_1100 = "SPR" *)
(* enum_value_1101 = "RS" *)
(* enum_value_1110 = "FRB" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [3:0] LOGICAL_dec31_dec_sub26_LOGICAL_dec31_dec_sub26_in2_sel;
(* enum_base_type = "MicrOp" *)
(* enum_value_0000000 = "OP_ILLEGAL" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [6:0] LOGICAL_dec31_dec_sub26_LOGICAL_dec31_dec_sub26_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire LOGICAL_dec31_dec_sub26_LOGICAL_dec31_dec_sub26_inv_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire LOGICAL_dec31_dec_sub26_LOGICAL_dec31_dec_sub26_inv_out;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire LOGICAL_dec31_dec_sub26_LOGICAL_dec31_dec_sub26_is_32b;
(* enum_base_type = "LdstLen" *)
(* enum_value_0000 = "NONE" *)
(* enum_value_0010 = "is2B" *)
(* enum_value_0100 = "is4B" *)
(* enum_value_1000 = "is8B" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [3:0] LOGICAL_dec31_dec_sub26_LOGICAL_dec31_dec_sub26_ldst_len;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] LOGICAL_dec31_dec_sub26_LOGICAL_dec31_dec_sub26_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire LOGICAL_dec31_dec_sub26_LOGICAL_dec31_dec_sub26_sgn;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
wire [31:0] LOGICAL_dec31_dec_sub26_opcode_in;
(* enum_base_type = "SVPtype" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "P1" *)
(* enum_value_10 = "P2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] LOGICAL_dec31_dec_sub28_LOGICAL_dec31_dec_sub28_SV_Ptype;
(* enum_base_type = "CRInSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_101 = "BC" *)
(* enum_value_110 = "WHOLE_REG" *)
(* enum_value_111 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] LOGICAL_dec31_dec_sub28_LOGICAL_dec31_dec_sub28_cr_in;
(* enum_base_type = "CROutSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] LOGICAL_dec31_dec_sub28_LOGICAL_dec31_dec_sub28_cr_out;
(* enum_base_type = "CryIn" *)
(* enum_value_00 = "ZERO" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "CA" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] LOGICAL_dec31_dec_sub28_LOGICAL_dec31_dec_sub28_cry_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire LOGICAL_dec31_dec_sub28_LOGICAL_dec31_dec_sub28_cry_out;
(* enum_base_type = "Function" *)
(* enum_value_000000000000000 = "NONE" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [14:0] LOGICAL_dec31_dec_sub28_LOGICAL_dec31_dec_sub28_function_unit;
(* enum_base_type = "In1Sel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_100 = "RS" *)
(* enum_value_101 = "FRA" *)
(* enum_value_110 = "FRS" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] LOGICAL_dec31_dec_sub28_LOGICAL_dec31_dec_sub28_in1_sel;
(* enum_base_type = "In2Sel" *)
(* enum_value_0000 = "NONE" *)
(* enum_value_1100 = "SPR" *)
(* enum_value_1101 = "RS" *)
(* enum_value_1110 = "FRB" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [3:0] LOGICAL_dec31_dec_sub28_LOGICAL_dec31_dec_sub28_in2_sel;
(* enum_base_type = "MicrOp" *)
(* enum_value_0000000 = "OP_ILLEGAL" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [6:0] LOGICAL_dec31_dec_sub28_LOGICAL_dec31_dec_sub28_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire LOGICAL_dec31_dec_sub28_LOGICAL_dec31_dec_sub28_inv_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire LOGICAL_dec31_dec_sub28_LOGICAL_dec31_dec_sub28_inv_out;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire LOGICAL_dec31_dec_sub28_LOGICAL_dec31_dec_sub28_is_32b;
(* enum_base_type = "LdstLen" *)
(* enum_value_0000 = "NONE" *)
(* enum_value_0010 = "is2B" *)
(* enum_value_0100 = "is4B" *)
(* enum_value_1000 = "is8B" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [3:0] LOGICAL_dec31_dec_sub28_LOGICAL_dec31_dec_sub28_ldst_len;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] LOGICAL_dec31_dec_sub28_LOGICAL_dec31_dec_sub28_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire LOGICAL_dec31_dec_sub28_LOGICAL_dec31_dec_sub28_sgn;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
wire [31:0] LOGICAL_dec31_dec_sub28_opcode_in;
(* enum_base_type = "Function" *)
(* enum_value_000000000000000 = "NONE" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [14:0] LOGICAL_dec31_function_unit;
reg [14:0] LOGICAL_dec31_function_unit;
(* enum_base_type = "In1Sel" *)
(* enum_value_100 = "RS" *)
(* enum_value_101 = "FRA" *)
(* enum_value_110 = "FRS" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] LOGICAL_dec31_in1_sel;
reg [2:0] LOGICAL_dec31_in1_sel;
(* enum_base_type = "In2Sel" *)
(* enum_value_1100 = "SPR" *)
(* enum_value_1101 = "RS" *)
(* enum_value_1110 = "FRB" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [3:0] LOGICAL_dec31_in2_sel;
reg [3:0] LOGICAL_dec31_in2_sel;
(* enum_base_type = "MicrOp" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [6:0] LOGICAL_dec31_internal_op;
reg [6:0] LOGICAL_dec31_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output LOGICAL_dec31_inv_a;
reg LOGICAL_dec31_inv_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output LOGICAL_dec31_inv_out;
reg LOGICAL_dec31_inv_out;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output LOGICAL_dec31_is_32b;
reg LOGICAL_dec31_is_32b;
(* enum_base_type = "LdstLen" *)
(* enum_value_0010 = "is2B" *)
(* enum_value_0100 = "is4B" *)
(* enum_value_1000 = "is8B" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [3:0] LOGICAL_dec31_ldst_len;
reg [3:0] LOGICAL_dec31_ldst_len;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] LOGICAL_dec31_rc_sel;
reg [1:0] LOGICAL_dec31_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output LOGICAL_dec31_sgn;
reg LOGICAL_dec31_sgn;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:355" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:357" *)
wire [4:0] opc_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
input [31:0] opcode_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
wire [9:0] opcode_switch;
LOGICAL_dec31_dec_sub26 LOGICAL_dec31_dec_sub26 (
.LOGICAL_dec31_dec_sub26_SV_Ptype(LOGICAL_dec31_dec_sub26_LOGICAL_dec31_dec_sub26_SV_Ptype),
always @* begin
if (\initial ) begin end
LOGICAL_dec31_cr_out = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opc_in)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
LOGICAL_dec31_cr_out = LOGICAL_dec31_dec_sub28_LOGICAL_dec31_dec_sub28_cr_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
LOGICAL_dec31_cr_out = LOGICAL_dec31_dec_sub26_LOGICAL_dec31_dec_sub26_cr_out;
endcase
always @* begin
if (\initial ) begin end
LOGICAL_dec31_ldst_len = 4'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opc_in)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
LOGICAL_dec31_ldst_len = LOGICAL_dec31_dec_sub28_LOGICAL_dec31_dec_sub28_ldst_len;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
LOGICAL_dec31_ldst_len = LOGICAL_dec31_dec_sub26_LOGICAL_dec31_dec_sub26_ldst_len;
endcase
always @* begin
if (\initial ) begin end
LOGICAL_dec31_rc_sel = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opc_in)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
LOGICAL_dec31_rc_sel = LOGICAL_dec31_dec_sub28_LOGICAL_dec31_dec_sub28_rc_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
LOGICAL_dec31_rc_sel = LOGICAL_dec31_dec_sub26_LOGICAL_dec31_dec_sub26_rc_sel;
endcase
always @* begin
if (\initial ) begin end
LOGICAL_dec31_cry_in = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opc_in)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
LOGICAL_dec31_cry_in = LOGICAL_dec31_dec_sub28_LOGICAL_dec31_dec_sub28_cry_in;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
LOGICAL_dec31_cry_in = LOGICAL_dec31_dec_sub26_LOGICAL_dec31_dec_sub26_cry_in;
endcase
always @* begin
if (\initial ) begin end
LOGICAL_dec31_inv_a = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opc_in)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
LOGICAL_dec31_inv_a = LOGICAL_dec31_dec_sub28_LOGICAL_dec31_dec_sub28_inv_a;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
LOGICAL_dec31_inv_a = LOGICAL_dec31_dec_sub26_LOGICAL_dec31_dec_sub26_inv_a;
endcase
always @* begin
if (\initial ) begin end
LOGICAL_dec31_inv_out = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opc_in)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
LOGICAL_dec31_inv_out = LOGICAL_dec31_dec_sub28_LOGICAL_dec31_dec_sub28_inv_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
LOGICAL_dec31_inv_out = LOGICAL_dec31_dec_sub26_LOGICAL_dec31_dec_sub26_inv_out;
endcase
always @* begin
if (\initial ) begin end
LOGICAL_dec31_cry_out = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opc_in)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
LOGICAL_dec31_cry_out = LOGICAL_dec31_dec_sub28_LOGICAL_dec31_dec_sub28_cry_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
LOGICAL_dec31_cry_out = LOGICAL_dec31_dec_sub26_LOGICAL_dec31_dec_sub26_cry_out;
endcase
always @* begin
if (\initial ) begin end
LOGICAL_dec31_is_32b = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opc_in)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
LOGICAL_dec31_is_32b = LOGICAL_dec31_dec_sub28_LOGICAL_dec31_dec_sub28_is_32b;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
LOGICAL_dec31_is_32b = LOGICAL_dec31_dec_sub26_LOGICAL_dec31_dec_sub26_is_32b;
endcase
always @* begin
if (\initial ) begin end
LOGICAL_dec31_sgn = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opc_in)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
LOGICAL_dec31_sgn = LOGICAL_dec31_dec_sub28_LOGICAL_dec31_dec_sub28_sgn;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
LOGICAL_dec31_sgn = LOGICAL_dec31_dec_sub26_LOGICAL_dec31_dec_sub26_sgn;
endcase
always @* begin
if (\initial ) begin end
LOGICAL_dec31_function_unit = 15'h0000;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opc_in)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
LOGICAL_dec31_function_unit = LOGICAL_dec31_dec_sub28_LOGICAL_dec31_dec_sub28_function_unit;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
LOGICAL_dec31_function_unit = LOGICAL_dec31_dec_sub26_LOGICAL_dec31_dec_sub26_function_unit;
endcase
always @* begin
if (\initial ) begin end
LOGICAL_dec31_internal_op = 7'h00;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opc_in)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
LOGICAL_dec31_internal_op = LOGICAL_dec31_dec_sub28_LOGICAL_dec31_dec_sub28_internal_op;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
LOGICAL_dec31_internal_op = LOGICAL_dec31_dec_sub26_LOGICAL_dec31_dec_sub26_internal_op;
endcase
always @* begin
if (\initial ) begin end
LOGICAL_dec31_SV_Ptype = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opc_in)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
LOGICAL_dec31_SV_Ptype = LOGICAL_dec31_dec_sub28_LOGICAL_dec31_dec_sub28_SV_Ptype;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
LOGICAL_dec31_SV_Ptype = LOGICAL_dec31_dec_sub26_LOGICAL_dec31_dec_sub26_SV_Ptype;
endcase
always @* begin
if (\initial ) begin end
LOGICAL_dec31_in1_sel = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opc_in)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
LOGICAL_dec31_in1_sel = LOGICAL_dec31_dec_sub28_LOGICAL_dec31_dec_sub28_in1_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
LOGICAL_dec31_in1_sel = LOGICAL_dec31_dec_sub26_LOGICAL_dec31_dec_sub26_in1_sel;
endcase
always @* begin
if (\initial ) begin end
LOGICAL_dec31_in2_sel = 4'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opc_in)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
LOGICAL_dec31_in2_sel = LOGICAL_dec31_dec_sub28_LOGICAL_dec31_dec_sub28_in2_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
LOGICAL_dec31_in2_sel = LOGICAL_dec31_dec_sub26_LOGICAL_dec31_dec_sub26_in2_sel;
endcase
always @* begin
if (\initial ) begin end
LOGICAL_dec31_cr_in = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opc_in)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
LOGICAL_dec31_cr_in = LOGICAL_dec31_dec_sub28_LOGICAL_dec31_dec_sub28_cr_in;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
LOGICAL_dec31_cr_in = LOGICAL_dec31_dec_sub26_LOGICAL_dec31_dec_sub26_cr_in;
endcase
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "P1" *)
(* enum_value_10 = "P2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] LOGICAL_dec31_dec_sub26_SV_Ptype;
reg [1:0] LOGICAL_dec31_dec_sub26_SV_Ptype;
(* enum_base_type = "CRInSel" *)
(* enum_value_101 = "BC" *)
(* enum_value_110 = "WHOLE_REG" *)
(* enum_value_111 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] LOGICAL_dec31_dec_sub26_cr_in;
reg [2:0] LOGICAL_dec31_dec_sub26_cr_in;
(* enum_base_type = "CROutSel" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] LOGICAL_dec31_dec_sub26_cr_out;
reg [2:0] LOGICAL_dec31_dec_sub26_cr_out;
(* enum_base_type = "CryIn" *)
(* enum_value_00 = "ZERO" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "CA" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] LOGICAL_dec31_dec_sub26_cry_in;
reg [1:0] LOGICAL_dec31_dec_sub26_cry_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output LOGICAL_dec31_dec_sub26_cry_out;
reg LOGICAL_dec31_dec_sub26_cry_out;
(* enum_base_type = "Function" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [14:0] LOGICAL_dec31_dec_sub26_function_unit;
reg [14:0] LOGICAL_dec31_dec_sub26_function_unit;
(* enum_base_type = "In1Sel" *)
(* enum_value_100 = "RS" *)
(* enum_value_101 = "FRA" *)
(* enum_value_110 = "FRS" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] LOGICAL_dec31_dec_sub26_in1_sel;
reg [2:0] LOGICAL_dec31_dec_sub26_in1_sel;
(* enum_base_type = "In2Sel" *)
(* enum_value_1100 = "SPR" *)
(* enum_value_1101 = "RS" *)
(* enum_value_1110 = "FRB" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [3:0] LOGICAL_dec31_dec_sub26_in2_sel;
reg [3:0] LOGICAL_dec31_dec_sub26_in2_sel;
(* enum_base_type = "MicrOp" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [6:0] LOGICAL_dec31_dec_sub26_internal_op;
reg [6:0] LOGICAL_dec31_dec_sub26_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output LOGICAL_dec31_dec_sub26_inv_a;
reg LOGICAL_dec31_dec_sub26_inv_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output LOGICAL_dec31_dec_sub26_inv_out;
reg LOGICAL_dec31_dec_sub26_inv_out;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output LOGICAL_dec31_dec_sub26_is_32b;
reg LOGICAL_dec31_dec_sub26_is_32b;
(* enum_base_type = "LdstLen" *)
(* enum_value_0010 = "is2B" *)
(* enum_value_0100 = "is4B" *)
(* enum_value_1000 = "is8B" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [3:0] LOGICAL_dec31_dec_sub26_ldst_len;
reg [3:0] LOGICAL_dec31_dec_sub26_ldst_len;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] LOGICAL_dec31_dec_sub26_rc_sel;
reg [1:0] LOGICAL_dec31_dec_sub26_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output LOGICAL_dec31_dec_sub26_sgn;
reg LOGICAL_dec31_dec_sub26_sgn;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
input [31:0] opcode_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
wire [4:0] opcode_switch;
always @* begin
if (\initial ) begin end
LOGICAL_dec31_dec_sub26_function_unit = 15'h0000;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
LOGICAL_dec31_dec_sub26_function_unit = 15'h0010;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
LOGICAL_dec31_dec_sub26_function_unit = 15'h0010;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
LOGICAL_dec31_dec_sub26_function_unit = 15'h0010;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
LOGICAL_dec31_dec_sub26_function_unit = 15'h0010;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
LOGICAL_dec31_dec_sub26_function_unit = 15'h0010;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
LOGICAL_dec31_dec_sub26_function_unit = 15'h0010;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
LOGICAL_dec31_dec_sub26_function_unit = 15'h0010;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
LOGICAL_dec31_dec_sub26_function_unit = 15'h0010;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
LOGICAL_dec31_dec_sub26_function_unit = 15'h0010;
endcase
always @* begin
if (\initial ) begin end
LOGICAL_dec31_dec_sub26_cry_in = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
LOGICAL_dec31_dec_sub26_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
LOGICAL_dec31_dec_sub26_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
LOGICAL_dec31_dec_sub26_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
LOGICAL_dec31_dec_sub26_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
LOGICAL_dec31_dec_sub26_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
LOGICAL_dec31_dec_sub26_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
LOGICAL_dec31_dec_sub26_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
LOGICAL_dec31_dec_sub26_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
LOGICAL_dec31_dec_sub26_cry_in = 2'h0;
endcase
always @* begin
if (\initial ) begin end
LOGICAL_dec31_dec_sub26_inv_a = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
LOGICAL_dec31_dec_sub26_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
LOGICAL_dec31_dec_sub26_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
LOGICAL_dec31_dec_sub26_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
LOGICAL_dec31_dec_sub26_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
LOGICAL_dec31_dec_sub26_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
LOGICAL_dec31_dec_sub26_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
LOGICAL_dec31_dec_sub26_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
LOGICAL_dec31_dec_sub26_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
LOGICAL_dec31_dec_sub26_inv_a = 1'h0;
endcase
always @* begin
if (\initial ) begin end
LOGICAL_dec31_dec_sub26_inv_out = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
LOGICAL_dec31_dec_sub26_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
LOGICAL_dec31_dec_sub26_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
LOGICAL_dec31_dec_sub26_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
LOGICAL_dec31_dec_sub26_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
LOGICAL_dec31_dec_sub26_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
LOGICAL_dec31_dec_sub26_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
LOGICAL_dec31_dec_sub26_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
LOGICAL_dec31_dec_sub26_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
LOGICAL_dec31_dec_sub26_inv_out = 1'h0;
endcase
always @* begin
if (\initial ) begin end
LOGICAL_dec31_dec_sub26_cry_out = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
LOGICAL_dec31_dec_sub26_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
LOGICAL_dec31_dec_sub26_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
LOGICAL_dec31_dec_sub26_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
LOGICAL_dec31_dec_sub26_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
LOGICAL_dec31_dec_sub26_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
LOGICAL_dec31_dec_sub26_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
LOGICAL_dec31_dec_sub26_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
LOGICAL_dec31_dec_sub26_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
LOGICAL_dec31_dec_sub26_cry_out = 1'h0;
endcase
always @* begin
if (\initial ) begin end
LOGICAL_dec31_dec_sub26_is_32b = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
LOGICAL_dec31_dec_sub26_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
LOGICAL_dec31_dec_sub26_is_32b = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
LOGICAL_dec31_dec_sub26_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
LOGICAL_dec31_dec_sub26_is_32b = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
LOGICAL_dec31_dec_sub26_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
LOGICAL_dec31_dec_sub26_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
LOGICAL_dec31_dec_sub26_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
LOGICAL_dec31_dec_sub26_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
LOGICAL_dec31_dec_sub26_is_32b = 1'h0;
endcase
always @* begin
if (\initial ) begin end
LOGICAL_dec31_dec_sub26_sgn = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
LOGICAL_dec31_dec_sub26_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
LOGICAL_dec31_dec_sub26_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
LOGICAL_dec31_dec_sub26_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
LOGICAL_dec31_dec_sub26_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
LOGICAL_dec31_dec_sub26_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
LOGICAL_dec31_dec_sub26_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
LOGICAL_dec31_dec_sub26_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
LOGICAL_dec31_dec_sub26_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
LOGICAL_dec31_dec_sub26_sgn = 1'h0;
endcase
always @* begin
if (\initial ) begin end
LOGICAL_dec31_dec_sub26_internal_op = 7'h00;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
LOGICAL_dec31_dec_sub26_internal_op = 7'h0e;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
LOGICAL_dec31_dec_sub26_internal_op = 7'h0e;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
LOGICAL_dec31_dec_sub26_internal_op = 7'h0e;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
LOGICAL_dec31_dec_sub26_internal_op = 7'h0e;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
LOGICAL_dec31_dec_sub26_internal_op = 7'h36;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
LOGICAL_dec31_dec_sub26_internal_op = 7'h36;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
LOGICAL_dec31_dec_sub26_internal_op = 7'h36;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
LOGICAL_dec31_dec_sub26_internal_op = 7'h37;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
LOGICAL_dec31_dec_sub26_internal_op = 7'h37;
endcase
always @* begin
if (\initial ) begin end
LOGICAL_dec31_dec_sub26_SV_Ptype = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
LOGICAL_dec31_dec_sub26_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
LOGICAL_dec31_dec_sub26_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
LOGICAL_dec31_dec_sub26_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
LOGICAL_dec31_dec_sub26_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
LOGICAL_dec31_dec_sub26_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
LOGICAL_dec31_dec_sub26_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
LOGICAL_dec31_dec_sub26_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
LOGICAL_dec31_dec_sub26_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
LOGICAL_dec31_dec_sub26_SV_Ptype = 2'h2;
endcase
always @* begin
if (\initial ) begin end
LOGICAL_dec31_dec_sub26_in1_sel = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
LOGICAL_dec31_dec_sub26_in1_sel = 3'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
LOGICAL_dec31_dec_sub26_in1_sel = 3'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
LOGICAL_dec31_dec_sub26_in1_sel = 3'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
LOGICAL_dec31_dec_sub26_in1_sel = 3'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
LOGICAL_dec31_dec_sub26_in1_sel = 3'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
LOGICAL_dec31_dec_sub26_in1_sel = 3'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
LOGICAL_dec31_dec_sub26_in1_sel = 3'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
LOGICAL_dec31_dec_sub26_in1_sel = 3'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
LOGICAL_dec31_dec_sub26_in1_sel = 3'h4;
endcase
always @* begin
if (\initial ) begin end
LOGICAL_dec31_dec_sub26_in2_sel = 4'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
LOGICAL_dec31_dec_sub26_in2_sel = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
LOGICAL_dec31_dec_sub26_in2_sel = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
LOGICAL_dec31_dec_sub26_in2_sel = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
LOGICAL_dec31_dec_sub26_in2_sel = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
LOGICAL_dec31_dec_sub26_in2_sel = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
LOGICAL_dec31_dec_sub26_in2_sel = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
LOGICAL_dec31_dec_sub26_in2_sel = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
LOGICAL_dec31_dec_sub26_in2_sel = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
LOGICAL_dec31_dec_sub26_in2_sel = 4'h0;
endcase
always @* begin
if (\initial ) begin end
LOGICAL_dec31_dec_sub26_cr_in = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
LOGICAL_dec31_dec_sub26_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
LOGICAL_dec31_dec_sub26_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
LOGICAL_dec31_dec_sub26_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
LOGICAL_dec31_dec_sub26_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
LOGICAL_dec31_dec_sub26_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
LOGICAL_dec31_dec_sub26_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
LOGICAL_dec31_dec_sub26_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
LOGICAL_dec31_dec_sub26_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
LOGICAL_dec31_dec_sub26_cr_in = 3'h0;
endcase
always @* begin
if (\initial ) begin end
LOGICAL_dec31_dec_sub26_cr_out = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
LOGICAL_dec31_dec_sub26_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
LOGICAL_dec31_dec_sub26_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
LOGICAL_dec31_dec_sub26_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
LOGICAL_dec31_dec_sub26_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
LOGICAL_dec31_dec_sub26_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
LOGICAL_dec31_dec_sub26_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
LOGICAL_dec31_dec_sub26_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
LOGICAL_dec31_dec_sub26_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
LOGICAL_dec31_dec_sub26_cr_out = 3'h0;
endcase
always @* begin
if (\initial ) begin end
LOGICAL_dec31_dec_sub26_ldst_len = 4'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
LOGICAL_dec31_dec_sub26_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
LOGICAL_dec31_dec_sub26_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
LOGICAL_dec31_dec_sub26_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
LOGICAL_dec31_dec_sub26_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
LOGICAL_dec31_dec_sub26_ldst_len = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
LOGICAL_dec31_dec_sub26_ldst_len = 4'h8;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
LOGICAL_dec31_dec_sub26_ldst_len = 4'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
LOGICAL_dec31_dec_sub26_ldst_len = 4'h8;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
LOGICAL_dec31_dec_sub26_ldst_len = 4'h4;
endcase
always @* begin
if (\initial ) begin end
LOGICAL_dec31_dec_sub26_rc_sel = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
LOGICAL_dec31_dec_sub26_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
LOGICAL_dec31_dec_sub26_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
LOGICAL_dec31_dec_sub26_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
LOGICAL_dec31_dec_sub26_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
LOGICAL_dec31_dec_sub26_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
LOGICAL_dec31_dec_sub26_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
LOGICAL_dec31_dec_sub26_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
LOGICAL_dec31_dec_sub26_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
LOGICAL_dec31_dec_sub26_rc_sel = 2'h0;
endcase
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "P1" *)
(* enum_value_10 = "P2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] LOGICAL_dec31_dec_sub28_SV_Ptype;
reg [1:0] LOGICAL_dec31_dec_sub28_SV_Ptype;
(* enum_base_type = "CRInSel" *)
(* enum_value_101 = "BC" *)
(* enum_value_110 = "WHOLE_REG" *)
(* enum_value_111 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] LOGICAL_dec31_dec_sub28_cr_in;
reg [2:0] LOGICAL_dec31_dec_sub28_cr_in;
(* enum_base_type = "CROutSel" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] LOGICAL_dec31_dec_sub28_cr_out;
reg [2:0] LOGICAL_dec31_dec_sub28_cr_out;
(* enum_base_type = "CryIn" *)
(* enum_value_00 = "ZERO" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "CA" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] LOGICAL_dec31_dec_sub28_cry_in;
reg [1:0] LOGICAL_dec31_dec_sub28_cry_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output LOGICAL_dec31_dec_sub28_cry_out;
reg LOGICAL_dec31_dec_sub28_cry_out;
(* enum_base_type = "Function" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [14:0] LOGICAL_dec31_dec_sub28_function_unit;
reg [14:0] LOGICAL_dec31_dec_sub28_function_unit;
(* enum_base_type = "In1Sel" *)
(* enum_value_100 = "RS" *)
(* enum_value_101 = "FRA" *)
(* enum_value_110 = "FRS" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] LOGICAL_dec31_dec_sub28_in1_sel;
reg [2:0] LOGICAL_dec31_dec_sub28_in1_sel;
(* enum_base_type = "In2Sel" *)
(* enum_value_1100 = "SPR" *)
(* enum_value_1101 = "RS" *)
(* enum_value_1110 = "FRB" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [3:0] LOGICAL_dec31_dec_sub28_in2_sel;
reg [3:0] LOGICAL_dec31_dec_sub28_in2_sel;
(* enum_base_type = "MicrOp" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [6:0] LOGICAL_dec31_dec_sub28_internal_op;
reg [6:0] LOGICAL_dec31_dec_sub28_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output LOGICAL_dec31_dec_sub28_inv_a;
reg LOGICAL_dec31_dec_sub28_inv_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output LOGICAL_dec31_dec_sub28_inv_out;
reg LOGICAL_dec31_dec_sub28_inv_out;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output LOGICAL_dec31_dec_sub28_is_32b;
reg LOGICAL_dec31_dec_sub28_is_32b;
(* enum_base_type = "LdstLen" *)
(* enum_value_0010 = "is2B" *)
(* enum_value_0100 = "is4B" *)
(* enum_value_1000 = "is8B" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [3:0] LOGICAL_dec31_dec_sub28_ldst_len;
reg [3:0] LOGICAL_dec31_dec_sub28_ldst_len;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] LOGICAL_dec31_dec_sub28_rc_sel;
reg [1:0] LOGICAL_dec31_dec_sub28_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output LOGICAL_dec31_dec_sub28_sgn;
reg LOGICAL_dec31_dec_sub28_sgn;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
input [31:0] opcode_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
wire [4:0] opcode_switch;
always @* begin
if (\initial ) begin end
LOGICAL_dec31_dec_sub28_function_unit = 15'h0000;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
LOGICAL_dec31_dec_sub28_function_unit = 15'h0010;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
LOGICAL_dec31_dec_sub28_function_unit = 15'h0010;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
LOGICAL_dec31_dec_sub28_function_unit = 15'h0010;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
LOGICAL_dec31_dec_sub28_function_unit = 15'h0010;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
LOGICAL_dec31_dec_sub28_function_unit = 15'h0010;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
LOGICAL_dec31_dec_sub28_function_unit = 15'h0010;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
LOGICAL_dec31_dec_sub28_function_unit = 15'h0010;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
LOGICAL_dec31_dec_sub28_function_unit = 15'h0010;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
LOGICAL_dec31_dec_sub28_function_unit = 15'h0010;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
LOGICAL_dec31_dec_sub28_function_unit = 15'h0010;
endcase
always @* begin
if (\initial ) begin end
LOGICAL_dec31_dec_sub28_cry_in = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
LOGICAL_dec31_dec_sub28_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
LOGICAL_dec31_dec_sub28_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
LOGICAL_dec31_dec_sub28_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
LOGICAL_dec31_dec_sub28_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
LOGICAL_dec31_dec_sub28_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
LOGICAL_dec31_dec_sub28_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
LOGICAL_dec31_dec_sub28_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
LOGICAL_dec31_dec_sub28_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
LOGICAL_dec31_dec_sub28_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
LOGICAL_dec31_dec_sub28_cry_in = 2'h0;
endcase
always @* begin
if (\initial ) begin end
LOGICAL_dec31_dec_sub28_inv_a = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
LOGICAL_dec31_dec_sub28_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
LOGICAL_dec31_dec_sub28_inv_a = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
LOGICAL_dec31_dec_sub28_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
LOGICAL_dec31_dec_sub28_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
LOGICAL_dec31_dec_sub28_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
LOGICAL_dec31_dec_sub28_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
LOGICAL_dec31_dec_sub28_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
LOGICAL_dec31_dec_sub28_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
LOGICAL_dec31_dec_sub28_inv_a = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
LOGICAL_dec31_dec_sub28_inv_a = 1'h0;
endcase
always @* begin
if (\initial ) begin end
LOGICAL_dec31_dec_sub28_inv_out = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
LOGICAL_dec31_dec_sub28_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
LOGICAL_dec31_dec_sub28_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
LOGICAL_dec31_dec_sub28_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
LOGICAL_dec31_dec_sub28_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
LOGICAL_dec31_dec_sub28_inv_out = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
LOGICAL_dec31_dec_sub28_inv_out = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
LOGICAL_dec31_dec_sub28_inv_out = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
LOGICAL_dec31_dec_sub28_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
LOGICAL_dec31_dec_sub28_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
LOGICAL_dec31_dec_sub28_inv_out = 1'h0;
endcase
always @* begin
if (\initial ) begin end
LOGICAL_dec31_dec_sub28_cry_out = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
LOGICAL_dec31_dec_sub28_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
LOGICAL_dec31_dec_sub28_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
LOGICAL_dec31_dec_sub28_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
LOGICAL_dec31_dec_sub28_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
LOGICAL_dec31_dec_sub28_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
LOGICAL_dec31_dec_sub28_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
LOGICAL_dec31_dec_sub28_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
LOGICAL_dec31_dec_sub28_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
LOGICAL_dec31_dec_sub28_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
LOGICAL_dec31_dec_sub28_cry_out = 1'h0;
endcase
always @* begin
if (\initial ) begin end
LOGICAL_dec31_dec_sub28_is_32b = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
LOGICAL_dec31_dec_sub28_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
LOGICAL_dec31_dec_sub28_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
LOGICAL_dec31_dec_sub28_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
LOGICAL_dec31_dec_sub28_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
LOGICAL_dec31_dec_sub28_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
LOGICAL_dec31_dec_sub28_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
LOGICAL_dec31_dec_sub28_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
LOGICAL_dec31_dec_sub28_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
LOGICAL_dec31_dec_sub28_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
LOGICAL_dec31_dec_sub28_is_32b = 1'h0;
endcase
always @* begin
if (\initial ) begin end
LOGICAL_dec31_dec_sub28_sgn = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
LOGICAL_dec31_dec_sub28_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
LOGICAL_dec31_dec_sub28_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
LOGICAL_dec31_dec_sub28_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
LOGICAL_dec31_dec_sub28_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
LOGICAL_dec31_dec_sub28_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
LOGICAL_dec31_dec_sub28_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
LOGICAL_dec31_dec_sub28_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
LOGICAL_dec31_dec_sub28_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
LOGICAL_dec31_dec_sub28_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
LOGICAL_dec31_dec_sub28_sgn = 1'h0;
endcase
always @* begin
if (\initial ) begin end
LOGICAL_dec31_dec_sub28_internal_op = 7'h00;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
LOGICAL_dec31_dec_sub28_internal_op = 7'h04;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
LOGICAL_dec31_dec_sub28_internal_op = 7'h04;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
LOGICAL_dec31_dec_sub28_internal_op = 7'h09;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
LOGICAL_dec31_dec_sub28_internal_op = 7'h0b;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
LOGICAL_dec31_dec_sub28_internal_op = 7'h43;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
LOGICAL_dec31_dec_sub28_internal_op = 7'h04;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
LOGICAL_dec31_dec_sub28_internal_op = 7'h35;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
LOGICAL_dec31_dec_sub28_internal_op = 7'h35;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
LOGICAL_dec31_dec_sub28_internal_op = 7'h35;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
LOGICAL_dec31_dec_sub28_internal_op = 7'h43;
endcase
always @* begin
if (\initial ) begin end
LOGICAL_dec31_dec_sub28_SV_Ptype = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
LOGICAL_dec31_dec_sub28_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
LOGICAL_dec31_dec_sub28_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
LOGICAL_dec31_dec_sub28_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
LOGICAL_dec31_dec_sub28_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
LOGICAL_dec31_dec_sub28_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
LOGICAL_dec31_dec_sub28_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
LOGICAL_dec31_dec_sub28_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
LOGICAL_dec31_dec_sub28_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
LOGICAL_dec31_dec_sub28_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
LOGICAL_dec31_dec_sub28_SV_Ptype = 2'h1;
endcase
always @* begin
if (\initial ) begin end
LOGICAL_dec31_dec_sub28_in1_sel = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
LOGICAL_dec31_dec_sub28_in1_sel = 3'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
LOGICAL_dec31_dec_sub28_in1_sel = 3'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
LOGICAL_dec31_dec_sub28_in1_sel = 3'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
LOGICAL_dec31_dec_sub28_in1_sel = 3'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
LOGICAL_dec31_dec_sub28_in1_sel = 3'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
LOGICAL_dec31_dec_sub28_in1_sel = 3'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
LOGICAL_dec31_dec_sub28_in1_sel = 3'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
LOGICAL_dec31_dec_sub28_in1_sel = 3'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
LOGICAL_dec31_dec_sub28_in1_sel = 3'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
LOGICAL_dec31_dec_sub28_in1_sel = 3'h4;
endcase
always @* begin
if (\initial ) begin end
LOGICAL_dec31_dec_sub28_in2_sel = 4'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
LOGICAL_dec31_dec_sub28_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
LOGICAL_dec31_dec_sub28_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
LOGICAL_dec31_dec_sub28_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
LOGICAL_dec31_dec_sub28_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
LOGICAL_dec31_dec_sub28_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
LOGICAL_dec31_dec_sub28_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
LOGICAL_dec31_dec_sub28_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
LOGICAL_dec31_dec_sub28_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
LOGICAL_dec31_dec_sub28_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
LOGICAL_dec31_dec_sub28_in2_sel = 4'h1;
endcase
always @* begin
if (\initial ) begin end
LOGICAL_dec31_dec_sub28_cr_in = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
LOGICAL_dec31_dec_sub28_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
LOGICAL_dec31_dec_sub28_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
LOGICAL_dec31_dec_sub28_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
LOGICAL_dec31_dec_sub28_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
LOGICAL_dec31_dec_sub28_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
LOGICAL_dec31_dec_sub28_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
LOGICAL_dec31_dec_sub28_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
LOGICAL_dec31_dec_sub28_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
LOGICAL_dec31_dec_sub28_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
LOGICAL_dec31_dec_sub28_cr_in = 3'h0;
endcase
always @* begin
if (\initial ) begin end
LOGICAL_dec31_dec_sub28_cr_out = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
LOGICAL_dec31_dec_sub28_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
LOGICAL_dec31_dec_sub28_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
LOGICAL_dec31_dec_sub28_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
LOGICAL_dec31_dec_sub28_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
LOGICAL_dec31_dec_sub28_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
LOGICAL_dec31_dec_sub28_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
LOGICAL_dec31_dec_sub28_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
LOGICAL_dec31_dec_sub28_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
LOGICAL_dec31_dec_sub28_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
LOGICAL_dec31_dec_sub28_cr_out = 3'h1;
endcase
always @* begin
if (\initial ) begin end
LOGICAL_dec31_dec_sub28_ldst_len = 4'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
LOGICAL_dec31_dec_sub28_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
LOGICAL_dec31_dec_sub28_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
LOGICAL_dec31_dec_sub28_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
LOGICAL_dec31_dec_sub28_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
LOGICAL_dec31_dec_sub28_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
LOGICAL_dec31_dec_sub28_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
LOGICAL_dec31_dec_sub28_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
LOGICAL_dec31_dec_sub28_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
LOGICAL_dec31_dec_sub28_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
LOGICAL_dec31_dec_sub28_ldst_len = 4'h0;
endcase
always @* begin
if (\initial ) begin end
LOGICAL_dec31_dec_sub28_rc_sel = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
LOGICAL_dec31_dec_sub28_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
LOGICAL_dec31_dec_sub28_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
LOGICAL_dec31_dec_sub28_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
LOGICAL_dec31_dec_sub28_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
LOGICAL_dec31_dec_sub28_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
LOGICAL_dec31_dec_sub28_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
LOGICAL_dec31_dec_sub28_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
LOGICAL_dec31_dec_sub28_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
LOGICAL_dec31_dec_sub28_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
LOGICAL_dec31_dec_sub28_rc_sel = 2'h2;
endcase
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "P1" *)
(* enum_value_10 = "P2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] MUL_dec31_SV_Ptype;
reg [1:0] MUL_dec31_SV_Ptype;
(* enum_base_type = "CRInSel" *)
(* enum_value_101 = "BC" *)
(* enum_value_110 = "WHOLE_REG" *)
(* enum_value_111 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] MUL_dec31_cr_in;
reg [2:0] MUL_dec31_cr_in;
(* enum_base_type = "CROutSel" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] MUL_dec31_cr_out;
reg [2:0] MUL_dec31_cr_out;
(* enum_base_type = "SVPtype" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "P1" *)
(* enum_value_10 = "P2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] MUL_dec31_dec_sub11_MUL_dec31_dec_sub11_SV_Ptype;
(* enum_base_type = "CRInSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_101 = "BC" *)
(* enum_value_110 = "WHOLE_REG" *)
(* enum_value_111 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] MUL_dec31_dec_sub11_MUL_dec31_dec_sub11_cr_in;
(* enum_base_type = "CROutSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] MUL_dec31_dec_sub11_MUL_dec31_dec_sub11_cr_out;
(* enum_base_type = "Function" *)
(* enum_value_000000000000000 = "NONE" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [14:0] MUL_dec31_dec_sub11_MUL_dec31_dec_sub11_function_unit;
(* enum_base_type = "In2Sel" *)
(* enum_value_0000 = "NONE" *)
(* enum_value_1100 = "SPR" *)
(* enum_value_1101 = "RS" *)
(* enum_value_1110 = "FRB" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [3:0] MUL_dec31_dec_sub11_MUL_dec31_dec_sub11_in2_sel;
(* enum_base_type = "MicrOp" *)
(* enum_value_0000000 = "OP_ILLEGAL" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [6:0] MUL_dec31_dec_sub11_MUL_dec31_dec_sub11_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire MUL_dec31_dec_sub11_MUL_dec31_dec_sub11_is_32b;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] MUL_dec31_dec_sub11_MUL_dec31_dec_sub11_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire MUL_dec31_dec_sub11_MUL_dec31_dec_sub11_sgn;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
wire [31:0] MUL_dec31_dec_sub11_opcode_in;
(* enum_base_type = "SVPtype" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "P1" *)
(* enum_value_10 = "P2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] MUL_dec31_dec_sub9_MUL_dec31_dec_sub9_SV_Ptype;
(* enum_base_type = "CRInSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_101 = "BC" *)
(* enum_value_110 = "WHOLE_REG" *)
(* enum_value_111 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] MUL_dec31_dec_sub9_MUL_dec31_dec_sub9_cr_in;
(* enum_base_type = "CROutSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] MUL_dec31_dec_sub9_MUL_dec31_dec_sub9_cr_out;
(* enum_base_type = "Function" *)
(* enum_value_000000000000000 = "NONE" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [14:0] MUL_dec31_dec_sub9_MUL_dec31_dec_sub9_function_unit;
(* enum_base_type = "In2Sel" *)
(* enum_value_0000 = "NONE" *)
(* enum_value_1100 = "SPR" *)
(* enum_value_1101 = "RS" *)
(* enum_value_1110 = "FRB" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [3:0] MUL_dec31_dec_sub9_MUL_dec31_dec_sub9_in2_sel;
(* enum_base_type = "MicrOp" *)
(* enum_value_0000000 = "OP_ILLEGAL" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [6:0] MUL_dec31_dec_sub9_MUL_dec31_dec_sub9_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire MUL_dec31_dec_sub9_MUL_dec31_dec_sub9_is_32b;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] MUL_dec31_dec_sub9_MUL_dec31_dec_sub9_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire MUL_dec31_dec_sub9_MUL_dec31_dec_sub9_sgn;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
wire [31:0] MUL_dec31_dec_sub9_opcode_in;
(* enum_base_type = "Function" *)
(* enum_value_000000000000000 = "NONE" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [14:0] MUL_dec31_function_unit;
reg [14:0] MUL_dec31_function_unit;
(* enum_base_type = "In2Sel" *)
(* enum_value_1100 = "SPR" *)
(* enum_value_1101 = "RS" *)
(* enum_value_1110 = "FRB" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [3:0] MUL_dec31_in2_sel;
reg [3:0] MUL_dec31_in2_sel;
(* enum_base_type = "MicrOp" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [6:0] MUL_dec31_internal_op;
reg [6:0] MUL_dec31_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output MUL_dec31_is_32b;
reg MUL_dec31_is_32b;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] MUL_dec31_rc_sel;
reg [1:0] MUL_dec31_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output MUL_dec31_sgn;
reg MUL_dec31_sgn;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:355" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:357" *)
wire [4:0] opc_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
input [31:0] opcode_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
wire [9:0] opcode_switch;
MUL_dec31_dec_sub11 MUL_dec31_dec_sub11 (
.MUL_dec31_dec_sub11_SV_Ptype(MUL_dec31_dec_sub11_MUL_dec31_dec_sub11_SV_Ptype),
always @* begin
if (\initial ) begin end
MUL_dec31_rc_sel = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opc_in)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
MUL_dec31_rc_sel = MUL_dec31_dec_sub9_MUL_dec31_dec_sub9_rc_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
MUL_dec31_rc_sel = MUL_dec31_dec_sub11_MUL_dec31_dec_sub11_rc_sel;
endcase
always @* begin
if (\initial ) begin end
MUL_dec31_is_32b = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opc_in)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
MUL_dec31_is_32b = MUL_dec31_dec_sub9_MUL_dec31_dec_sub9_is_32b;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
MUL_dec31_is_32b = MUL_dec31_dec_sub11_MUL_dec31_dec_sub11_is_32b;
endcase
always @* begin
if (\initial ) begin end
MUL_dec31_sgn = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opc_in)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
MUL_dec31_sgn = MUL_dec31_dec_sub9_MUL_dec31_dec_sub9_sgn;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
MUL_dec31_sgn = MUL_dec31_dec_sub11_MUL_dec31_dec_sub11_sgn;
endcase
always @* begin
if (\initial ) begin end
MUL_dec31_function_unit = 15'h0000;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opc_in)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
MUL_dec31_function_unit = MUL_dec31_dec_sub9_MUL_dec31_dec_sub9_function_unit;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
MUL_dec31_function_unit = MUL_dec31_dec_sub11_MUL_dec31_dec_sub11_function_unit;
endcase
always @* begin
if (\initial ) begin end
MUL_dec31_internal_op = 7'h00;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opc_in)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
MUL_dec31_internal_op = MUL_dec31_dec_sub9_MUL_dec31_dec_sub9_internal_op;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
MUL_dec31_internal_op = MUL_dec31_dec_sub11_MUL_dec31_dec_sub11_internal_op;
endcase
always @* begin
if (\initial ) begin end
MUL_dec31_SV_Ptype = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opc_in)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
MUL_dec31_SV_Ptype = MUL_dec31_dec_sub9_MUL_dec31_dec_sub9_SV_Ptype;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
MUL_dec31_SV_Ptype = MUL_dec31_dec_sub11_MUL_dec31_dec_sub11_SV_Ptype;
endcase
always @* begin
if (\initial ) begin end
MUL_dec31_in2_sel = 4'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opc_in)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
MUL_dec31_in2_sel = MUL_dec31_dec_sub9_MUL_dec31_dec_sub9_in2_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
MUL_dec31_in2_sel = MUL_dec31_dec_sub11_MUL_dec31_dec_sub11_in2_sel;
endcase
always @* begin
if (\initial ) begin end
MUL_dec31_cr_in = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opc_in)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
MUL_dec31_cr_in = MUL_dec31_dec_sub9_MUL_dec31_dec_sub9_cr_in;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
MUL_dec31_cr_in = MUL_dec31_dec_sub11_MUL_dec31_dec_sub11_cr_in;
endcase
always @* begin
if (\initial ) begin end
MUL_dec31_cr_out = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opc_in)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
MUL_dec31_cr_out = MUL_dec31_dec_sub9_MUL_dec31_dec_sub9_cr_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
MUL_dec31_cr_out = MUL_dec31_dec_sub11_MUL_dec31_dec_sub11_cr_out;
endcase
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "P1" *)
(* enum_value_10 = "P2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] MUL_dec31_dec_sub11_SV_Ptype;
reg [1:0] MUL_dec31_dec_sub11_SV_Ptype;
(* enum_base_type = "CRInSel" *)
(* enum_value_101 = "BC" *)
(* enum_value_110 = "WHOLE_REG" *)
(* enum_value_111 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] MUL_dec31_dec_sub11_cr_in;
reg [2:0] MUL_dec31_dec_sub11_cr_in;
(* enum_base_type = "CROutSel" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] MUL_dec31_dec_sub11_cr_out;
reg [2:0] MUL_dec31_dec_sub11_cr_out;
(* enum_base_type = "Function" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [14:0] MUL_dec31_dec_sub11_function_unit;
reg [14:0] MUL_dec31_dec_sub11_function_unit;
(* enum_base_type = "In2Sel" *)
(* enum_value_1100 = "SPR" *)
(* enum_value_1101 = "RS" *)
(* enum_value_1110 = "FRB" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [3:0] MUL_dec31_dec_sub11_in2_sel;
reg [3:0] MUL_dec31_dec_sub11_in2_sel;
(* enum_base_type = "MicrOp" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [6:0] MUL_dec31_dec_sub11_internal_op;
reg [6:0] MUL_dec31_dec_sub11_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output MUL_dec31_dec_sub11_is_32b;
reg MUL_dec31_dec_sub11_is_32b;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] MUL_dec31_dec_sub11_rc_sel;
reg [1:0] MUL_dec31_dec_sub11_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output MUL_dec31_dec_sub11_sgn;
reg MUL_dec31_dec_sub11_sgn;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
input [31:0] opcode_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
wire [4:0] opcode_switch;
always @* begin
if (\initial ) begin end
MUL_dec31_dec_sub11_function_unit = 15'h0000;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
MUL_dec31_dec_sub11_function_unit = 15'h0100;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
MUL_dec31_dec_sub11_function_unit = 15'h0100;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
MUL_dec31_dec_sub11_function_unit = 15'h0100;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
MUL_dec31_dec_sub11_function_unit = 15'h0100;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
MUL_dec31_dec_sub11_function_unit = 15'h0100;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
MUL_dec31_dec_sub11_function_unit = 15'h0100;
endcase
always @* begin
if (\initial ) begin end
MUL_dec31_dec_sub11_internal_op = 7'h00;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
MUL_dec31_dec_sub11_internal_op = 7'h34;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
MUL_dec31_dec_sub11_internal_op = 7'h34;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
MUL_dec31_dec_sub11_internal_op = 7'h34;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
MUL_dec31_dec_sub11_internal_op = 7'h34;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
MUL_dec31_dec_sub11_internal_op = 7'h32;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
MUL_dec31_dec_sub11_internal_op = 7'h32;
endcase
always @* begin
if (\initial ) begin end
MUL_dec31_dec_sub11_SV_Ptype = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
MUL_dec31_dec_sub11_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
MUL_dec31_dec_sub11_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
MUL_dec31_dec_sub11_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
MUL_dec31_dec_sub11_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
MUL_dec31_dec_sub11_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
MUL_dec31_dec_sub11_SV_Ptype = 2'h1;
endcase
always @* begin
if (\initial ) begin end
MUL_dec31_dec_sub11_in2_sel = 4'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
MUL_dec31_dec_sub11_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
MUL_dec31_dec_sub11_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
MUL_dec31_dec_sub11_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
MUL_dec31_dec_sub11_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
MUL_dec31_dec_sub11_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
MUL_dec31_dec_sub11_in2_sel = 4'h1;
endcase
always @* begin
if (\initial ) begin end
MUL_dec31_dec_sub11_cr_in = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
MUL_dec31_dec_sub11_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
MUL_dec31_dec_sub11_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
MUL_dec31_dec_sub11_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
MUL_dec31_dec_sub11_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
MUL_dec31_dec_sub11_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
MUL_dec31_dec_sub11_cr_in = 3'h0;
endcase
always @* begin
if (\initial ) begin end
MUL_dec31_dec_sub11_cr_out = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
MUL_dec31_dec_sub11_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
MUL_dec31_dec_sub11_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
MUL_dec31_dec_sub11_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
MUL_dec31_dec_sub11_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
MUL_dec31_dec_sub11_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
MUL_dec31_dec_sub11_cr_out = 3'h1;
endcase
always @* begin
if (\initial ) begin end
MUL_dec31_dec_sub11_rc_sel = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
MUL_dec31_dec_sub11_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
MUL_dec31_dec_sub11_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
MUL_dec31_dec_sub11_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
MUL_dec31_dec_sub11_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
MUL_dec31_dec_sub11_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
MUL_dec31_dec_sub11_rc_sel = 2'h2;
endcase
always @* begin
if (\initial ) begin end
MUL_dec31_dec_sub11_is_32b = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
MUL_dec31_dec_sub11_is_32b = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
MUL_dec31_dec_sub11_is_32b = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
MUL_dec31_dec_sub11_is_32b = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
MUL_dec31_dec_sub11_is_32b = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
MUL_dec31_dec_sub11_is_32b = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
MUL_dec31_dec_sub11_is_32b = 1'h1;
endcase
always @* begin
if (\initial ) begin end
MUL_dec31_dec_sub11_sgn = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
MUL_dec31_dec_sub11_sgn = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
MUL_dec31_dec_sub11_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
MUL_dec31_dec_sub11_sgn = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
MUL_dec31_dec_sub11_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
MUL_dec31_dec_sub11_sgn = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
MUL_dec31_dec_sub11_sgn = 1'h1;
endcase
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "P1" *)
(* enum_value_10 = "P2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] MUL_dec31_dec_sub9_SV_Ptype;
reg [1:0] MUL_dec31_dec_sub9_SV_Ptype;
(* enum_base_type = "CRInSel" *)
(* enum_value_101 = "BC" *)
(* enum_value_110 = "WHOLE_REG" *)
(* enum_value_111 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] MUL_dec31_dec_sub9_cr_in;
reg [2:0] MUL_dec31_dec_sub9_cr_in;
(* enum_base_type = "CROutSel" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] MUL_dec31_dec_sub9_cr_out;
reg [2:0] MUL_dec31_dec_sub9_cr_out;
(* enum_base_type = "Function" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [14:0] MUL_dec31_dec_sub9_function_unit;
reg [14:0] MUL_dec31_dec_sub9_function_unit;
(* enum_base_type = "In2Sel" *)
(* enum_value_1100 = "SPR" *)
(* enum_value_1101 = "RS" *)
(* enum_value_1110 = "FRB" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [3:0] MUL_dec31_dec_sub9_in2_sel;
reg [3:0] MUL_dec31_dec_sub9_in2_sel;
(* enum_base_type = "MicrOp" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [6:0] MUL_dec31_dec_sub9_internal_op;
reg [6:0] MUL_dec31_dec_sub9_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output MUL_dec31_dec_sub9_is_32b;
reg MUL_dec31_dec_sub9_is_32b;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] MUL_dec31_dec_sub9_rc_sel;
reg [1:0] MUL_dec31_dec_sub9_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output MUL_dec31_dec_sub9_sgn;
reg MUL_dec31_dec_sub9_sgn;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
input [31:0] opcode_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
wire [4:0] opcode_switch;
always @* begin
if (\initial ) begin end
MUL_dec31_dec_sub9_function_unit = 15'h0000;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
MUL_dec31_dec_sub9_function_unit = 15'h0100;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
MUL_dec31_dec_sub9_function_unit = 15'h0100;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
MUL_dec31_dec_sub9_function_unit = 15'h0100;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
MUL_dec31_dec_sub9_function_unit = 15'h0100;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
MUL_dec31_dec_sub9_function_unit = 15'h0100;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
MUL_dec31_dec_sub9_function_unit = 15'h0100;
endcase
always @* begin
if (\initial ) begin end
MUL_dec31_dec_sub9_internal_op = 7'h00;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
MUL_dec31_dec_sub9_internal_op = 7'h33;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
MUL_dec31_dec_sub9_internal_op = 7'h33;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
MUL_dec31_dec_sub9_internal_op = 7'h33;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
MUL_dec31_dec_sub9_internal_op = 7'h33;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
MUL_dec31_dec_sub9_internal_op = 7'h32;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
MUL_dec31_dec_sub9_internal_op = 7'h32;
endcase
always @* begin
if (\initial ) begin end
MUL_dec31_dec_sub9_SV_Ptype = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
MUL_dec31_dec_sub9_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
MUL_dec31_dec_sub9_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
MUL_dec31_dec_sub9_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
MUL_dec31_dec_sub9_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
MUL_dec31_dec_sub9_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
MUL_dec31_dec_sub9_SV_Ptype = 2'h1;
endcase
always @* begin
if (\initial ) begin end
MUL_dec31_dec_sub9_in2_sel = 4'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
MUL_dec31_dec_sub9_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
MUL_dec31_dec_sub9_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
MUL_dec31_dec_sub9_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
MUL_dec31_dec_sub9_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
MUL_dec31_dec_sub9_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
MUL_dec31_dec_sub9_in2_sel = 4'h1;
endcase
always @* begin
if (\initial ) begin end
MUL_dec31_dec_sub9_cr_in = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
MUL_dec31_dec_sub9_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
MUL_dec31_dec_sub9_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
MUL_dec31_dec_sub9_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
MUL_dec31_dec_sub9_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
MUL_dec31_dec_sub9_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
MUL_dec31_dec_sub9_cr_in = 3'h0;
endcase
always @* begin
if (\initial ) begin end
MUL_dec31_dec_sub9_cr_out = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
MUL_dec31_dec_sub9_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
MUL_dec31_dec_sub9_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
MUL_dec31_dec_sub9_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
MUL_dec31_dec_sub9_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
MUL_dec31_dec_sub9_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
MUL_dec31_dec_sub9_cr_out = 3'h1;
endcase
always @* begin
if (\initial ) begin end
MUL_dec31_dec_sub9_rc_sel = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
MUL_dec31_dec_sub9_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
MUL_dec31_dec_sub9_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
MUL_dec31_dec_sub9_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
MUL_dec31_dec_sub9_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
MUL_dec31_dec_sub9_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
MUL_dec31_dec_sub9_rc_sel = 2'h2;
endcase
always @* begin
if (\initial ) begin end
MUL_dec31_dec_sub9_is_32b = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
MUL_dec31_dec_sub9_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
MUL_dec31_dec_sub9_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
MUL_dec31_dec_sub9_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
MUL_dec31_dec_sub9_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
MUL_dec31_dec_sub9_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
MUL_dec31_dec_sub9_is_32b = 1'h0;
endcase
always @* begin
if (\initial ) begin end
MUL_dec31_dec_sub9_sgn = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
MUL_dec31_dec_sub9_sgn = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
MUL_dec31_dec_sub9_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
MUL_dec31_dec_sub9_sgn = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
MUL_dec31_dec_sub9_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
MUL_dec31_dec_sub9_sgn = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
MUL_dec31_dec_sub9_sgn = 1'h1;
endcase
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "P1" *)
(* enum_value_10 = "P2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] SHIFT_ROT_dec30_SV_Ptype;
reg [1:0] SHIFT_ROT_dec30_SV_Ptype;
(* enum_base_type = "CRInSel" *)
(* enum_value_101 = "BC" *)
(* enum_value_110 = "WHOLE_REG" *)
(* enum_value_111 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] SHIFT_ROT_dec30_cr_in;
reg [2:0] SHIFT_ROT_dec30_cr_in;
(* enum_base_type = "CROutSel" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] SHIFT_ROT_dec30_cr_out;
reg [2:0] SHIFT_ROT_dec30_cr_out;
(* enum_base_type = "CryIn" *)
(* enum_value_00 = "ZERO" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "CA" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] SHIFT_ROT_dec30_cry_in;
reg [1:0] SHIFT_ROT_dec30_cry_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output SHIFT_ROT_dec30_cry_out;
reg SHIFT_ROT_dec30_cry_out;
(* enum_base_type = "Function" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [14:0] SHIFT_ROT_dec30_function_unit;
reg [14:0] SHIFT_ROT_dec30_function_unit;
(* enum_base_type = "In2Sel" *)
(* enum_value_1100 = "SPR" *)
(* enum_value_1101 = "RS" *)
(* enum_value_1110 = "FRB" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [3:0] SHIFT_ROT_dec30_in2_sel;
reg [3:0] SHIFT_ROT_dec30_in2_sel;
(* enum_base_type = "MicrOp" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [6:0] SHIFT_ROT_dec30_internal_op;
reg [6:0] SHIFT_ROT_dec30_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output SHIFT_ROT_dec30_inv_a;
reg SHIFT_ROT_dec30_inv_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output SHIFT_ROT_dec30_is_32b;
reg SHIFT_ROT_dec30_is_32b;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] SHIFT_ROT_dec30_rc_sel;
reg [1:0] SHIFT_ROT_dec30_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output SHIFT_ROT_dec30_sgn;
reg SHIFT_ROT_dec30_sgn;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
input [31:0] opcode_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
wire [3:0] opcode_switch;
always @* begin
if (\initial ) begin end
SHIFT_ROT_dec30_function_unit = 15'h0000;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h4:
SHIFT_ROT_dec30_function_unit = 15'h0008;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h5:
SHIFT_ROT_dec30_function_unit = 15'h0008;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h0:
SHIFT_ROT_dec30_function_unit = 15'h0008;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h1:
SHIFT_ROT_dec30_function_unit = 15'h0008;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h2:
SHIFT_ROT_dec30_function_unit = 15'h0008;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h3:
SHIFT_ROT_dec30_function_unit = 15'h0008;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h6:
SHIFT_ROT_dec30_function_unit = 15'h0008;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h7:
SHIFT_ROT_dec30_function_unit = 15'h0008;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h8:
SHIFT_ROT_dec30_function_unit = 15'h0008;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h9:
SHIFT_ROT_dec30_function_unit = 15'h0008;
endcase
always @* begin
if (\initial ) begin end
SHIFT_ROT_dec30_cry_out = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h4:
SHIFT_ROT_dec30_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h5:
SHIFT_ROT_dec30_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h0:
SHIFT_ROT_dec30_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h1:
SHIFT_ROT_dec30_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h2:
SHIFT_ROT_dec30_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h3:
SHIFT_ROT_dec30_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h6:
SHIFT_ROT_dec30_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h7:
SHIFT_ROT_dec30_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h8:
SHIFT_ROT_dec30_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h9:
SHIFT_ROT_dec30_cry_out = 1'h0;
endcase
always @* begin
if (\initial ) begin end
SHIFT_ROT_dec30_is_32b = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h4:
SHIFT_ROT_dec30_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h5:
SHIFT_ROT_dec30_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h0:
SHIFT_ROT_dec30_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h1:
SHIFT_ROT_dec30_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h2:
SHIFT_ROT_dec30_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h3:
SHIFT_ROT_dec30_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h6:
SHIFT_ROT_dec30_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h7:
SHIFT_ROT_dec30_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h8:
SHIFT_ROT_dec30_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h9:
SHIFT_ROT_dec30_is_32b = 1'h0;
endcase
always @* begin
if (\initial ) begin end
SHIFT_ROT_dec30_sgn = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h4:
SHIFT_ROT_dec30_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h5:
SHIFT_ROT_dec30_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h0:
SHIFT_ROT_dec30_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h1:
SHIFT_ROT_dec30_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h2:
SHIFT_ROT_dec30_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h3:
SHIFT_ROT_dec30_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h6:
SHIFT_ROT_dec30_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h7:
SHIFT_ROT_dec30_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h8:
SHIFT_ROT_dec30_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h9:
SHIFT_ROT_dec30_sgn = 1'h0;
endcase
always @* begin
if (\initial ) begin end
SHIFT_ROT_dec30_internal_op = 7'h00;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h4:
SHIFT_ROT_dec30_internal_op = 7'h38;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h5:
SHIFT_ROT_dec30_internal_op = 7'h38;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h0:
SHIFT_ROT_dec30_internal_op = 7'h39;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h1:
SHIFT_ROT_dec30_internal_op = 7'h39;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h2:
SHIFT_ROT_dec30_internal_op = 7'h3a;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h3:
SHIFT_ROT_dec30_internal_op = 7'h3a;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h6:
SHIFT_ROT_dec30_internal_op = 7'h38;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h7:
SHIFT_ROT_dec30_internal_op = 7'h38;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h8:
SHIFT_ROT_dec30_internal_op = 7'h39;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h9:
SHIFT_ROT_dec30_internal_op = 7'h3a;
endcase
always @* begin
if (\initial ) begin end
SHIFT_ROT_dec30_SV_Ptype = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h4:
SHIFT_ROT_dec30_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h5:
SHIFT_ROT_dec30_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h0:
SHIFT_ROT_dec30_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h1:
SHIFT_ROT_dec30_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h2:
SHIFT_ROT_dec30_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h3:
SHIFT_ROT_dec30_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h6:
SHIFT_ROT_dec30_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h7:
SHIFT_ROT_dec30_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h8:
SHIFT_ROT_dec30_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h9:
SHIFT_ROT_dec30_SV_Ptype = 2'h1;
endcase
always @* begin
if (\initial ) begin end
SHIFT_ROT_dec30_in2_sel = 4'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h4:
SHIFT_ROT_dec30_in2_sel = 4'ha;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h5:
SHIFT_ROT_dec30_in2_sel = 4'ha;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h0:
SHIFT_ROT_dec30_in2_sel = 4'ha;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h1:
SHIFT_ROT_dec30_in2_sel = 4'ha;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h2:
SHIFT_ROT_dec30_in2_sel = 4'ha;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h3:
SHIFT_ROT_dec30_in2_sel = 4'ha;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h6:
SHIFT_ROT_dec30_in2_sel = 4'ha;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h7:
SHIFT_ROT_dec30_in2_sel = 4'ha;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h8:
SHIFT_ROT_dec30_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h9:
SHIFT_ROT_dec30_in2_sel = 4'h1;
endcase
always @* begin
if (\initial ) begin end
SHIFT_ROT_dec30_cr_in = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h4:
SHIFT_ROT_dec30_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h5:
SHIFT_ROT_dec30_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h0:
SHIFT_ROT_dec30_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h1:
SHIFT_ROT_dec30_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h2:
SHIFT_ROT_dec30_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h3:
SHIFT_ROT_dec30_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h6:
SHIFT_ROT_dec30_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h7:
SHIFT_ROT_dec30_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h8:
SHIFT_ROT_dec30_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h9:
SHIFT_ROT_dec30_cr_in = 3'h0;
endcase
always @* begin
if (\initial ) begin end
SHIFT_ROT_dec30_cr_out = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h4:
SHIFT_ROT_dec30_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h5:
SHIFT_ROT_dec30_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h0:
SHIFT_ROT_dec30_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h1:
SHIFT_ROT_dec30_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h2:
SHIFT_ROT_dec30_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h3:
SHIFT_ROT_dec30_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h6:
SHIFT_ROT_dec30_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h7:
SHIFT_ROT_dec30_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h8:
SHIFT_ROT_dec30_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h9:
SHIFT_ROT_dec30_cr_out = 3'h1;
endcase
always @* begin
if (\initial ) begin end
SHIFT_ROT_dec30_rc_sel = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h4:
SHIFT_ROT_dec30_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h5:
SHIFT_ROT_dec30_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h0:
SHIFT_ROT_dec30_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h1:
SHIFT_ROT_dec30_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h2:
SHIFT_ROT_dec30_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h3:
SHIFT_ROT_dec30_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h6:
SHIFT_ROT_dec30_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h7:
SHIFT_ROT_dec30_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h8:
SHIFT_ROT_dec30_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h9:
SHIFT_ROT_dec30_rc_sel = 2'h2;
endcase
always @* begin
if (\initial ) begin end
SHIFT_ROT_dec30_cry_in = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h4:
SHIFT_ROT_dec30_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h5:
SHIFT_ROT_dec30_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h0:
SHIFT_ROT_dec30_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h1:
SHIFT_ROT_dec30_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h2:
SHIFT_ROT_dec30_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h3:
SHIFT_ROT_dec30_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h6:
SHIFT_ROT_dec30_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h7:
SHIFT_ROT_dec30_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h8:
SHIFT_ROT_dec30_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h9:
SHIFT_ROT_dec30_cry_in = 2'h0;
endcase
always @* begin
if (\initial ) begin end
SHIFT_ROT_dec30_inv_a = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h4:
SHIFT_ROT_dec30_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h5:
SHIFT_ROT_dec30_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h0:
SHIFT_ROT_dec30_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h1:
SHIFT_ROT_dec30_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h2:
SHIFT_ROT_dec30_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h3:
SHIFT_ROT_dec30_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h6:
SHIFT_ROT_dec30_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h7:
SHIFT_ROT_dec30_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h8:
SHIFT_ROT_dec30_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h9:
SHIFT_ROT_dec30_inv_a = 1'h0;
endcase
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "P1" *)
(* enum_value_10 = "P2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] SHIFT_ROT_dec31_SV_Ptype;
reg [1:0] SHIFT_ROT_dec31_SV_Ptype;
(* enum_base_type = "CRInSel" *)
(* enum_value_101 = "BC" *)
(* enum_value_110 = "WHOLE_REG" *)
(* enum_value_111 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] SHIFT_ROT_dec31_cr_in;
reg [2:0] SHIFT_ROT_dec31_cr_in;
(* enum_base_type = "CROutSel" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] SHIFT_ROT_dec31_cr_out;
reg [2:0] SHIFT_ROT_dec31_cr_out;
(* enum_base_type = "CryIn" *)
(* enum_value_00 = "ZERO" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "CA" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] SHIFT_ROT_dec31_cry_in;
reg [1:0] SHIFT_ROT_dec31_cry_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output SHIFT_ROT_dec31_cry_out;
reg SHIFT_ROT_dec31_cry_out;
(* enum_base_type = "SVPtype" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "P1" *)
(* enum_value_10 = "P2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] SHIFT_ROT_dec31_dec_sub24_SHIFT_ROT_dec31_dec_sub24_SV_Ptype;
(* enum_base_type = "CRInSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_101 = "BC" *)
(* enum_value_110 = "WHOLE_REG" *)
(* enum_value_111 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] SHIFT_ROT_dec31_dec_sub24_SHIFT_ROT_dec31_dec_sub24_cr_in;
(* enum_base_type = "CROutSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] SHIFT_ROT_dec31_dec_sub24_SHIFT_ROT_dec31_dec_sub24_cr_out;
(* enum_base_type = "CryIn" *)
(* enum_value_00 = "ZERO" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "CA" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] SHIFT_ROT_dec31_dec_sub24_SHIFT_ROT_dec31_dec_sub24_cry_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire SHIFT_ROT_dec31_dec_sub24_SHIFT_ROT_dec31_dec_sub24_cry_out;
(* enum_base_type = "Function" *)
(* enum_value_000000000000000 = "NONE" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [14:0] SHIFT_ROT_dec31_dec_sub24_SHIFT_ROT_dec31_dec_sub24_function_unit;
(* enum_base_type = "In2Sel" *)
(* enum_value_0000 = "NONE" *)
(* enum_value_1100 = "SPR" *)
(* enum_value_1101 = "RS" *)
(* enum_value_1110 = "FRB" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [3:0] SHIFT_ROT_dec31_dec_sub24_SHIFT_ROT_dec31_dec_sub24_in2_sel;
(* enum_base_type = "MicrOp" *)
(* enum_value_0000000 = "OP_ILLEGAL" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [6:0] SHIFT_ROT_dec31_dec_sub24_SHIFT_ROT_dec31_dec_sub24_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire SHIFT_ROT_dec31_dec_sub24_SHIFT_ROT_dec31_dec_sub24_inv_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire SHIFT_ROT_dec31_dec_sub24_SHIFT_ROT_dec31_dec_sub24_is_32b;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] SHIFT_ROT_dec31_dec_sub24_SHIFT_ROT_dec31_dec_sub24_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire SHIFT_ROT_dec31_dec_sub24_SHIFT_ROT_dec31_dec_sub24_sgn;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
wire [31:0] SHIFT_ROT_dec31_dec_sub24_opcode_in;
(* enum_base_type = "SVPtype" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "P1" *)
(* enum_value_10 = "P2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] SHIFT_ROT_dec31_dec_sub26_SHIFT_ROT_dec31_dec_sub26_SV_Ptype;
(* enum_base_type = "CRInSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_101 = "BC" *)
(* enum_value_110 = "WHOLE_REG" *)
(* enum_value_111 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] SHIFT_ROT_dec31_dec_sub26_SHIFT_ROT_dec31_dec_sub26_cr_in;
(* enum_base_type = "CROutSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] SHIFT_ROT_dec31_dec_sub26_SHIFT_ROT_dec31_dec_sub26_cr_out;
(* enum_base_type = "CryIn" *)
(* enum_value_00 = "ZERO" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "CA" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] SHIFT_ROT_dec31_dec_sub26_SHIFT_ROT_dec31_dec_sub26_cry_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire SHIFT_ROT_dec31_dec_sub26_SHIFT_ROT_dec31_dec_sub26_cry_out;
(* enum_base_type = "Function" *)
(* enum_value_000000000000000 = "NONE" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [14:0] SHIFT_ROT_dec31_dec_sub26_SHIFT_ROT_dec31_dec_sub26_function_unit;
(* enum_base_type = "In2Sel" *)
(* enum_value_0000 = "NONE" *)
(* enum_value_1100 = "SPR" *)
(* enum_value_1101 = "RS" *)
(* enum_value_1110 = "FRB" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [3:0] SHIFT_ROT_dec31_dec_sub26_SHIFT_ROT_dec31_dec_sub26_in2_sel;
(* enum_base_type = "MicrOp" *)
(* enum_value_0000000 = "OP_ILLEGAL" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [6:0] SHIFT_ROT_dec31_dec_sub26_SHIFT_ROT_dec31_dec_sub26_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire SHIFT_ROT_dec31_dec_sub26_SHIFT_ROT_dec31_dec_sub26_inv_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire SHIFT_ROT_dec31_dec_sub26_SHIFT_ROT_dec31_dec_sub26_is_32b;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] SHIFT_ROT_dec31_dec_sub26_SHIFT_ROT_dec31_dec_sub26_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire SHIFT_ROT_dec31_dec_sub26_SHIFT_ROT_dec31_dec_sub26_sgn;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
wire [31:0] SHIFT_ROT_dec31_dec_sub26_opcode_in;
(* enum_base_type = "SVPtype" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "P1" *)
(* enum_value_10 = "P2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] SHIFT_ROT_dec31_dec_sub27_SHIFT_ROT_dec31_dec_sub27_SV_Ptype;
(* enum_base_type = "CRInSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_101 = "BC" *)
(* enum_value_110 = "WHOLE_REG" *)
(* enum_value_111 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] SHIFT_ROT_dec31_dec_sub27_SHIFT_ROT_dec31_dec_sub27_cr_in;
(* enum_base_type = "CROutSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] SHIFT_ROT_dec31_dec_sub27_SHIFT_ROT_dec31_dec_sub27_cr_out;
(* enum_base_type = "CryIn" *)
(* enum_value_00 = "ZERO" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "CA" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] SHIFT_ROT_dec31_dec_sub27_SHIFT_ROT_dec31_dec_sub27_cry_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire SHIFT_ROT_dec31_dec_sub27_SHIFT_ROT_dec31_dec_sub27_cry_out;
(* enum_base_type = "Function" *)
(* enum_value_000000000000000 = "NONE" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [14:0] SHIFT_ROT_dec31_dec_sub27_SHIFT_ROT_dec31_dec_sub27_function_unit;
(* enum_base_type = "In2Sel" *)
(* enum_value_0000 = "NONE" *)
(* enum_value_1100 = "SPR" *)
(* enum_value_1101 = "RS" *)
(* enum_value_1110 = "FRB" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [3:0] SHIFT_ROT_dec31_dec_sub27_SHIFT_ROT_dec31_dec_sub27_in2_sel;
(* enum_base_type = "MicrOp" *)
(* enum_value_0000000 = "OP_ILLEGAL" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [6:0] SHIFT_ROT_dec31_dec_sub27_SHIFT_ROT_dec31_dec_sub27_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire SHIFT_ROT_dec31_dec_sub27_SHIFT_ROT_dec31_dec_sub27_inv_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire SHIFT_ROT_dec31_dec_sub27_SHIFT_ROT_dec31_dec_sub27_is_32b;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] SHIFT_ROT_dec31_dec_sub27_SHIFT_ROT_dec31_dec_sub27_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire SHIFT_ROT_dec31_dec_sub27_SHIFT_ROT_dec31_dec_sub27_sgn;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
wire [31:0] SHIFT_ROT_dec31_dec_sub27_opcode_in;
(* enum_base_type = "Function" *)
(* enum_value_000000000000000 = "NONE" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [14:0] SHIFT_ROT_dec31_function_unit;
reg [14:0] SHIFT_ROT_dec31_function_unit;
(* enum_base_type = "In2Sel" *)
(* enum_value_1100 = "SPR" *)
(* enum_value_1101 = "RS" *)
(* enum_value_1110 = "FRB" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [3:0] SHIFT_ROT_dec31_in2_sel;
reg [3:0] SHIFT_ROT_dec31_in2_sel;
(* enum_base_type = "MicrOp" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [6:0] SHIFT_ROT_dec31_internal_op;
reg [6:0] SHIFT_ROT_dec31_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output SHIFT_ROT_dec31_inv_a;
reg SHIFT_ROT_dec31_inv_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output SHIFT_ROT_dec31_is_32b;
reg SHIFT_ROT_dec31_is_32b;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] SHIFT_ROT_dec31_rc_sel;
reg [1:0] SHIFT_ROT_dec31_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output SHIFT_ROT_dec31_sgn;
reg SHIFT_ROT_dec31_sgn;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:355" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:357" *)
wire [4:0] opc_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
input [31:0] opcode_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
wire [9:0] opcode_switch;
SHIFT_ROT_dec31_dec_sub24 SHIFT_ROT_dec31_dec_sub24 (
.SHIFT_ROT_dec31_dec_sub24_SV_Ptype(SHIFT_ROT_dec31_dec_sub24_SHIFT_ROT_dec31_dec_sub24_SV_Ptype),
always @* begin
if (\initial ) begin end
SHIFT_ROT_dec31_cr_out = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opc_in)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
SHIFT_ROT_dec31_cr_out = SHIFT_ROT_dec31_dec_sub26_SHIFT_ROT_dec31_dec_sub26_cr_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
SHIFT_ROT_dec31_cr_out = SHIFT_ROT_dec31_dec_sub27_SHIFT_ROT_dec31_dec_sub27_cr_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
SHIFT_ROT_dec31_cr_out = SHIFT_ROT_dec31_dec_sub24_SHIFT_ROT_dec31_dec_sub24_cr_out;
endcase
always @* begin
if (\initial ) begin end
SHIFT_ROT_dec31_rc_sel = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opc_in)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
SHIFT_ROT_dec31_rc_sel = SHIFT_ROT_dec31_dec_sub26_SHIFT_ROT_dec31_dec_sub26_rc_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
SHIFT_ROT_dec31_rc_sel = SHIFT_ROT_dec31_dec_sub27_SHIFT_ROT_dec31_dec_sub27_rc_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
SHIFT_ROT_dec31_rc_sel = SHIFT_ROT_dec31_dec_sub24_SHIFT_ROT_dec31_dec_sub24_rc_sel;
endcase
always @* begin
if (\initial ) begin end
SHIFT_ROT_dec31_cry_in = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opc_in)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
SHIFT_ROT_dec31_cry_in = SHIFT_ROT_dec31_dec_sub26_SHIFT_ROT_dec31_dec_sub26_cry_in;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
SHIFT_ROT_dec31_cry_in = SHIFT_ROT_dec31_dec_sub27_SHIFT_ROT_dec31_dec_sub27_cry_in;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
SHIFT_ROT_dec31_cry_in = SHIFT_ROT_dec31_dec_sub24_SHIFT_ROT_dec31_dec_sub24_cry_in;
endcase
always @* begin
if (\initial ) begin end
SHIFT_ROT_dec31_inv_a = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opc_in)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
SHIFT_ROT_dec31_inv_a = SHIFT_ROT_dec31_dec_sub26_SHIFT_ROT_dec31_dec_sub26_inv_a;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
SHIFT_ROT_dec31_inv_a = SHIFT_ROT_dec31_dec_sub27_SHIFT_ROT_dec31_dec_sub27_inv_a;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
SHIFT_ROT_dec31_inv_a = SHIFT_ROT_dec31_dec_sub24_SHIFT_ROT_dec31_dec_sub24_inv_a;
endcase
always @* begin
if (\initial ) begin end
SHIFT_ROT_dec31_cry_out = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opc_in)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
SHIFT_ROT_dec31_cry_out = SHIFT_ROT_dec31_dec_sub26_SHIFT_ROT_dec31_dec_sub26_cry_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
SHIFT_ROT_dec31_cry_out = SHIFT_ROT_dec31_dec_sub27_SHIFT_ROT_dec31_dec_sub27_cry_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
SHIFT_ROT_dec31_cry_out = SHIFT_ROT_dec31_dec_sub24_SHIFT_ROT_dec31_dec_sub24_cry_out;
endcase
always @* begin
if (\initial ) begin end
SHIFT_ROT_dec31_is_32b = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opc_in)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
SHIFT_ROT_dec31_is_32b = SHIFT_ROT_dec31_dec_sub26_SHIFT_ROT_dec31_dec_sub26_is_32b;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
SHIFT_ROT_dec31_is_32b = SHIFT_ROT_dec31_dec_sub27_SHIFT_ROT_dec31_dec_sub27_is_32b;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
SHIFT_ROT_dec31_is_32b = SHIFT_ROT_dec31_dec_sub24_SHIFT_ROT_dec31_dec_sub24_is_32b;
endcase
always @* begin
if (\initial ) begin end
SHIFT_ROT_dec31_sgn = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opc_in)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
SHIFT_ROT_dec31_sgn = SHIFT_ROT_dec31_dec_sub26_SHIFT_ROT_dec31_dec_sub26_sgn;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
SHIFT_ROT_dec31_sgn = SHIFT_ROT_dec31_dec_sub27_SHIFT_ROT_dec31_dec_sub27_sgn;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
SHIFT_ROT_dec31_sgn = SHIFT_ROT_dec31_dec_sub24_SHIFT_ROT_dec31_dec_sub24_sgn;
endcase
always @* begin
if (\initial ) begin end
SHIFT_ROT_dec31_function_unit = 15'h0000;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opc_in)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
SHIFT_ROT_dec31_function_unit = SHIFT_ROT_dec31_dec_sub26_SHIFT_ROT_dec31_dec_sub26_function_unit;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
SHIFT_ROT_dec31_function_unit = SHIFT_ROT_dec31_dec_sub27_SHIFT_ROT_dec31_dec_sub27_function_unit;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
SHIFT_ROT_dec31_function_unit = SHIFT_ROT_dec31_dec_sub24_SHIFT_ROT_dec31_dec_sub24_function_unit;
endcase
always @* begin
if (\initial ) begin end
SHIFT_ROT_dec31_internal_op = 7'h00;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opc_in)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
SHIFT_ROT_dec31_internal_op = SHIFT_ROT_dec31_dec_sub26_SHIFT_ROT_dec31_dec_sub26_internal_op;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
SHIFT_ROT_dec31_internal_op = SHIFT_ROT_dec31_dec_sub27_SHIFT_ROT_dec31_dec_sub27_internal_op;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
SHIFT_ROT_dec31_internal_op = SHIFT_ROT_dec31_dec_sub24_SHIFT_ROT_dec31_dec_sub24_internal_op;
endcase
always @* begin
if (\initial ) begin end
SHIFT_ROT_dec31_SV_Ptype = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opc_in)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
SHIFT_ROT_dec31_SV_Ptype = SHIFT_ROT_dec31_dec_sub26_SHIFT_ROT_dec31_dec_sub26_SV_Ptype;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
SHIFT_ROT_dec31_SV_Ptype = SHIFT_ROT_dec31_dec_sub27_SHIFT_ROT_dec31_dec_sub27_SV_Ptype;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
SHIFT_ROT_dec31_SV_Ptype = SHIFT_ROT_dec31_dec_sub24_SHIFT_ROT_dec31_dec_sub24_SV_Ptype;
endcase
always @* begin
if (\initial ) begin end
SHIFT_ROT_dec31_in2_sel = 4'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opc_in)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
SHIFT_ROT_dec31_in2_sel = SHIFT_ROT_dec31_dec_sub26_SHIFT_ROT_dec31_dec_sub26_in2_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
SHIFT_ROT_dec31_in2_sel = SHIFT_ROT_dec31_dec_sub27_SHIFT_ROT_dec31_dec_sub27_in2_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
SHIFT_ROT_dec31_in2_sel = SHIFT_ROT_dec31_dec_sub24_SHIFT_ROT_dec31_dec_sub24_in2_sel;
endcase
always @* begin
if (\initial ) begin end
SHIFT_ROT_dec31_cr_in = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opc_in)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
SHIFT_ROT_dec31_cr_in = SHIFT_ROT_dec31_dec_sub26_SHIFT_ROT_dec31_dec_sub26_cr_in;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
SHIFT_ROT_dec31_cr_in = SHIFT_ROT_dec31_dec_sub27_SHIFT_ROT_dec31_dec_sub27_cr_in;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
SHIFT_ROT_dec31_cr_in = SHIFT_ROT_dec31_dec_sub24_SHIFT_ROT_dec31_dec_sub24_cr_in;
endcase
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "P1" *)
(* enum_value_10 = "P2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] SHIFT_ROT_dec31_dec_sub24_SV_Ptype;
reg [1:0] SHIFT_ROT_dec31_dec_sub24_SV_Ptype;
(* enum_base_type = "CRInSel" *)
(* enum_value_101 = "BC" *)
(* enum_value_110 = "WHOLE_REG" *)
(* enum_value_111 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] SHIFT_ROT_dec31_dec_sub24_cr_in;
reg [2:0] SHIFT_ROT_dec31_dec_sub24_cr_in;
(* enum_base_type = "CROutSel" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] SHIFT_ROT_dec31_dec_sub24_cr_out;
reg [2:0] SHIFT_ROT_dec31_dec_sub24_cr_out;
(* enum_base_type = "CryIn" *)
(* enum_value_00 = "ZERO" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "CA" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] SHIFT_ROT_dec31_dec_sub24_cry_in;
reg [1:0] SHIFT_ROT_dec31_dec_sub24_cry_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output SHIFT_ROT_dec31_dec_sub24_cry_out;
reg SHIFT_ROT_dec31_dec_sub24_cry_out;
(* enum_base_type = "Function" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [14:0] SHIFT_ROT_dec31_dec_sub24_function_unit;
reg [14:0] SHIFT_ROT_dec31_dec_sub24_function_unit;
(* enum_base_type = "In2Sel" *)
(* enum_value_1100 = "SPR" *)
(* enum_value_1101 = "RS" *)
(* enum_value_1110 = "FRB" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [3:0] SHIFT_ROT_dec31_dec_sub24_in2_sel;
reg [3:0] SHIFT_ROT_dec31_dec_sub24_in2_sel;
(* enum_base_type = "MicrOp" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [6:0] SHIFT_ROT_dec31_dec_sub24_internal_op;
reg [6:0] SHIFT_ROT_dec31_dec_sub24_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output SHIFT_ROT_dec31_dec_sub24_inv_a;
reg SHIFT_ROT_dec31_dec_sub24_inv_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output SHIFT_ROT_dec31_dec_sub24_is_32b;
reg SHIFT_ROT_dec31_dec_sub24_is_32b;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] SHIFT_ROT_dec31_dec_sub24_rc_sel;
reg [1:0] SHIFT_ROT_dec31_dec_sub24_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output SHIFT_ROT_dec31_dec_sub24_sgn;
reg SHIFT_ROT_dec31_dec_sub24_sgn;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
input [31:0] opcode_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
wire [4:0] opcode_switch;
always @* begin
if (\initial ) begin end
SHIFT_ROT_dec31_dec_sub24_function_unit = 15'h0000;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
SHIFT_ROT_dec31_dec_sub24_function_unit = 15'h0008;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
SHIFT_ROT_dec31_dec_sub24_function_unit = 15'h0008;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
SHIFT_ROT_dec31_dec_sub24_function_unit = 15'h0008;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
SHIFT_ROT_dec31_dec_sub24_function_unit = 15'h0008;
endcase
always @* begin
if (\initial ) begin end
SHIFT_ROT_dec31_dec_sub24_cry_out = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
SHIFT_ROT_dec31_dec_sub24_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
SHIFT_ROT_dec31_dec_sub24_cry_out = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
SHIFT_ROT_dec31_dec_sub24_cry_out = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
SHIFT_ROT_dec31_dec_sub24_cry_out = 1'h0;
endcase
always @* begin
if (\initial ) begin end
SHIFT_ROT_dec31_dec_sub24_is_32b = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
SHIFT_ROT_dec31_dec_sub24_is_32b = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
SHIFT_ROT_dec31_dec_sub24_is_32b = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
SHIFT_ROT_dec31_dec_sub24_is_32b = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
SHIFT_ROT_dec31_dec_sub24_is_32b = 1'h1;
endcase
always @* begin
if (\initial ) begin end
SHIFT_ROT_dec31_dec_sub24_sgn = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
SHIFT_ROT_dec31_dec_sub24_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
SHIFT_ROT_dec31_dec_sub24_sgn = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
SHIFT_ROT_dec31_dec_sub24_sgn = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
SHIFT_ROT_dec31_dec_sub24_sgn = 1'h0;
endcase
always @* begin
if (\initial ) begin end
SHIFT_ROT_dec31_dec_sub24_internal_op = 7'h00;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
SHIFT_ROT_dec31_dec_sub24_internal_op = 7'h3c;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
SHIFT_ROT_dec31_dec_sub24_internal_op = 7'h3d;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
SHIFT_ROT_dec31_dec_sub24_internal_op = 7'h3d;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
SHIFT_ROT_dec31_dec_sub24_internal_op = 7'h3d;
endcase
always @* begin
if (\initial ) begin end
SHIFT_ROT_dec31_dec_sub24_SV_Ptype = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
SHIFT_ROT_dec31_dec_sub24_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
SHIFT_ROT_dec31_dec_sub24_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
SHIFT_ROT_dec31_dec_sub24_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
SHIFT_ROT_dec31_dec_sub24_SV_Ptype = 2'h1;
endcase
always @* begin
if (\initial ) begin end
SHIFT_ROT_dec31_dec_sub24_in2_sel = 4'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
SHIFT_ROT_dec31_dec_sub24_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
SHIFT_ROT_dec31_dec_sub24_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
SHIFT_ROT_dec31_dec_sub24_in2_sel = 4'hb;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
SHIFT_ROT_dec31_dec_sub24_in2_sel = 4'h1;
endcase
always @* begin
if (\initial ) begin end
SHIFT_ROT_dec31_dec_sub24_cr_in = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
SHIFT_ROT_dec31_dec_sub24_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
SHIFT_ROT_dec31_dec_sub24_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
SHIFT_ROT_dec31_dec_sub24_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
SHIFT_ROT_dec31_dec_sub24_cr_in = 3'h0;
endcase
always @* begin
if (\initial ) begin end
SHIFT_ROT_dec31_dec_sub24_cr_out = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
SHIFT_ROT_dec31_dec_sub24_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
SHIFT_ROT_dec31_dec_sub24_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
SHIFT_ROT_dec31_dec_sub24_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
SHIFT_ROT_dec31_dec_sub24_cr_out = 3'h1;
endcase
always @* begin
if (\initial ) begin end
SHIFT_ROT_dec31_dec_sub24_rc_sel = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
SHIFT_ROT_dec31_dec_sub24_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
SHIFT_ROT_dec31_dec_sub24_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
SHIFT_ROT_dec31_dec_sub24_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
SHIFT_ROT_dec31_dec_sub24_rc_sel = 2'h2;
endcase
always @* begin
if (\initial ) begin end
SHIFT_ROT_dec31_dec_sub24_cry_in = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
SHIFT_ROT_dec31_dec_sub24_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
SHIFT_ROT_dec31_dec_sub24_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
SHIFT_ROT_dec31_dec_sub24_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
SHIFT_ROT_dec31_dec_sub24_cry_in = 2'h0;
endcase
always @* begin
if (\initial ) begin end
SHIFT_ROT_dec31_dec_sub24_inv_a = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
SHIFT_ROT_dec31_dec_sub24_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
SHIFT_ROT_dec31_dec_sub24_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
SHIFT_ROT_dec31_dec_sub24_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
SHIFT_ROT_dec31_dec_sub24_inv_a = 1'h0;
endcase
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "P1" *)
(* enum_value_10 = "P2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] SHIFT_ROT_dec31_dec_sub26_SV_Ptype;
reg [1:0] SHIFT_ROT_dec31_dec_sub26_SV_Ptype;
(* enum_base_type = "CRInSel" *)
(* enum_value_101 = "BC" *)
(* enum_value_110 = "WHOLE_REG" *)
(* enum_value_111 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] SHIFT_ROT_dec31_dec_sub26_cr_in;
reg [2:0] SHIFT_ROT_dec31_dec_sub26_cr_in;
(* enum_base_type = "CROutSel" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] SHIFT_ROT_dec31_dec_sub26_cr_out;
reg [2:0] SHIFT_ROT_dec31_dec_sub26_cr_out;
(* enum_base_type = "CryIn" *)
(* enum_value_00 = "ZERO" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "CA" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] SHIFT_ROT_dec31_dec_sub26_cry_in;
reg [1:0] SHIFT_ROT_dec31_dec_sub26_cry_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output SHIFT_ROT_dec31_dec_sub26_cry_out;
reg SHIFT_ROT_dec31_dec_sub26_cry_out;
(* enum_base_type = "Function" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [14:0] SHIFT_ROT_dec31_dec_sub26_function_unit;
reg [14:0] SHIFT_ROT_dec31_dec_sub26_function_unit;
(* enum_base_type = "In2Sel" *)
(* enum_value_1100 = "SPR" *)
(* enum_value_1101 = "RS" *)
(* enum_value_1110 = "FRB" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [3:0] SHIFT_ROT_dec31_dec_sub26_in2_sel;
reg [3:0] SHIFT_ROT_dec31_dec_sub26_in2_sel;
(* enum_base_type = "MicrOp" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [6:0] SHIFT_ROT_dec31_dec_sub26_internal_op;
reg [6:0] SHIFT_ROT_dec31_dec_sub26_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output SHIFT_ROT_dec31_dec_sub26_inv_a;
reg SHIFT_ROT_dec31_dec_sub26_inv_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output SHIFT_ROT_dec31_dec_sub26_is_32b;
reg SHIFT_ROT_dec31_dec_sub26_is_32b;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] SHIFT_ROT_dec31_dec_sub26_rc_sel;
reg [1:0] SHIFT_ROT_dec31_dec_sub26_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output SHIFT_ROT_dec31_dec_sub26_sgn;
reg SHIFT_ROT_dec31_dec_sub26_sgn;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
input [31:0] opcode_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
wire [4:0] opcode_switch;
always @* begin
if (\initial ) begin end
SHIFT_ROT_dec31_dec_sub26_function_unit = 15'h0000;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
SHIFT_ROT_dec31_dec_sub26_function_unit = 15'h0008;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
SHIFT_ROT_dec31_dec_sub26_function_unit = 15'h0008;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
SHIFT_ROT_dec31_dec_sub26_function_unit = 15'h0008;
endcase
always @* begin
if (\initial ) begin end
SHIFT_ROT_dec31_dec_sub26_cry_out = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
SHIFT_ROT_dec31_dec_sub26_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
SHIFT_ROT_dec31_dec_sub26_cry_out = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
SHIFT_ROT_dec31_dec_sub26_cry_out = 1'h1;
endcase
always @* begin
if (\initial ) begin end
SHIFT_ROT_dec31_dec_sub26_is_32b = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
SHIFT_ROT_dec31_dec_sub26_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
SHIFT_ROT_dec31_dec_sub26_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
SHIFT_ROT_dec31_dec_sub26_is_32b = 1'h0;
endcase
always @* begin
if (\initial ) begin end
SHIFT_ROT_dec31_dec_sub26_sgn = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
SHIFT_ROT_dec31_dec_sub26_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
SHIFT_ROT_dec31_dec_sub26_sgn = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
SHIFT_ROT_dec31_dec_sub26_sgn = 1'h1;
endcase
always @* begin
if (\initial ) begin end
SHIFT_ROT_dec31_dec_sub26_internal_op = 7'h00;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
SHIFT_ROT_dec31_dec_sub26_internal_op = 7'h20;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
SHIFT_ROT_dec31_dec_sub26_internal_op = 7'h3d;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
SHIFT_ROT_dec31_dec_sub26_internal_op = 7'h3d;
endcase
always @* begin
if (\initial ) begin end
SHIFT_ROT_dec31_dec_sub26_SV_Ptype = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
SHIFT_ROT_dec31_dec_sub26_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
SHIFT_ROT_dec31_dec_sub26_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
SHIFT_ROT_dec31_dec_sub26_SV_Ptype = 2'h2;
endcase
always @* begin
if (\initial ) begin end
SHIFT_ROT_dec31_dec_sub26_in2_sel = 4'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
SHIFT_ROT_dec31_dec_sub26_in2_sel = 4'ha;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
SHIFT_ROT_dec31_dec_sub26_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
SHIFT_ROT_dec31_dec_sub26_in2_sel = 4'ha;
endcase
always @* begin
if (\initial ) begin end
SHIFT_ROT_dec31_dec_sub26_cr_in = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
SHIFT_ROT_dec31_dec_sub26_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
SHIFT_ROT_dec31_dec_sub26_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
SHIFT_ROT_dec31_dec_sub26_cr_in = 3'h0;
endcase
always @* begin
if (\initial ) begin end
SHIFT_ROT_dec31_dec_sub26_cr_out = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
SHIFT_ROT_dec31_dec_sub26_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
SHIFT_ROT_dec31_dec_sub26_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
SHIFT_ROT_dec31_dec_sub26_cr_out = 3'h1;
endcase
always @* begin
if (\initial ) begin end
SHIFT_ROT_dec31_dec_sub26_rc_sel = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
SHIFT_ROT_dec31_dec_sub26_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
SHIFT_ROT_dec31_dec_sub26_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
SHIFT_ROT_dec31_dec_sub26_rc_sel = 2'h2;
endcase
always @* begin
if (\initial ) begin end
SHIFT_ROT_dec31_dec_sub26_cry_in = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
SHIFT_ROT_dec31_dec_sub26_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
SHIFT_ROT_dec31_dec_sub26_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
SHIFT_ROT_dec31_dec_sub26_cry_in = 2'h0;
endcase
always @* begin
if (\initial ) begin end
SHIFT_ROT_dec31_dec_sub26_inv_a = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
SHIFT_ROT_dec31_dec_sub26_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
SHIFT_ROT_dec31_dec_sub26_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
SHIFT_ROT_dec31_dec_sub26_inv_a = 1'h0;
endcase
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "P1" *)
(* enum_value_10 = "P2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] SHIFT_ROT_dec31_dec_sub27_SV_Ptype;
reg [1:0] SHIFT_ROT_dec31_dec_sub27_SV_Ptype;
(* enum_base_type = "CRInSel" *)
(* enum_value_101 = "BC" *)
(* enum_value_110 = "WHOLE_REG" *)
(* enum_value_111 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] SHIFT_ROT_dec31_dec_sub27_cr_in;
reg [2:0] SHIFT_ROT_dec31_dec_sub27_cr_in;
(* enum_base_type = "CROutSel" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] SHIFT_ROT_dec31_dec_sub27_cr_out;
reg [2:0] SHIFT_ROT_dec31_dec_sub27_cr_out;
(* enum_base_type = "CryIn" *)
(* enum_value_00 = "ZERO" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "CA" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] SHIFT_ROT_dec31_dec_sub27_cry_in;
reg [1:0] SHIFT_ROT_dec31_dec_sub27_cry_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output SHIFT_ROT_dec31_dec_sub27_cry_out;
reg SHIFT_ROT_dec31_dec_sub27_cry_out;
(* enum_base_type = "Function" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [14:0] SHIFT_ROT_dec31_dec_sub27_function_unit;
reg [14:0] SHIFT_ROT_dec31_dec_sub27_function_unit;
(* enum_base_type = "In2Sel" *)
(* enum_value_1100 = "SPR" *)
(* enum_value_1101 = "RS" *)
(* enum_value_1110 = "FRB" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [3:0] SHIFT_ROT_dec31_dec_sub27_in2_sel;
reg [3:0] SHIFT_ROT_dec31_dec_sub27_in2_sel;
(* enum_base_type = "MicrOp" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [6:0] SHIFT_ROT_dec31_dec_sub27_internal_op;
reg [6:0] SHIFT_ROT_dec31_dec_sub27_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output SHIFT_ROT_dec31_dec_sub27_inv_a;
reg SHIFT_ROT_dec31_dec_sub27_inv_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output SHIFT_ROT_dec31_dec_sub27_is_32b;
reg SHIFT_ROT_dec31_dec_sub27_is_32b;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] SHIFT_ROT_dec31_dec_sub27_rc_sel;
reg [1:0] SHIFT_ROT_dec31_dec_sub27_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output SHIFT_ROT_dec31_dec_sub27_sgn;
reg SHIFT_ROT_dec31_dec_sub27_sgn;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
input [31:0] opcode_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
wire [4:0] opcode_switch;
always @* begin
if (\initial ) begin end
SHIFT_ROT_dec31_dec_sub27_function_unit = 15'h0000;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
SHIFT_ROT_dec31_dec_sub27_function_unit = 15'h0008;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
SHIFT_ROT_dec31_dec_sub27_function_unit = 15'h0008;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
SHIFT_ROT_dec31_dec_sub27_function_unit = 15'h0008;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
SHIFT_ROT_dec31_dec_sub27_function_unit = 15'h0008;
endcase
always @* begin
if (\initial ) begin end
SHIFT_ROT_dec31_dec_sub27_cry_out = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
SHIFT_ROT_dec31_dec_sub27_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
SHIFT_ROT_dec31_dec_sub27_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
SHIFT_ROT_dec31_dec_sub27_cry_out = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
SHIFT_ROT_dec31_dec_sub27_cry_out = 1'h0;
endcase
always @* begin
if (\initial ) begin end
SHIFT_ROT_dec31_dec_sub27_is_32b = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
SHIFT_ROT_dec31_dec_sub27_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
SHIFT_ROT_dec31_dec_sub27_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
SHIFT_ROT_dec31_dec_sub27_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
SHIFT_ROT_dec31_dec_sub27_is_32b = 1'h0;
endcase
always @* begin
if (\initial ) begin end
SHIFT_ROT_dec31_dec_sub27_sgn = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
SHIFT_ROT_dec31_dec_sub27_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
SHIFT_ROT_dec31_dec_sub27_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
SHIFT_ROT_dec31_dec_sub27_sgn = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
SHIFT_ROT_dec31_dec_sub27_sgn = 1'h0;
endcase
always @* begin
if (\initial ) begin end
SHIFT_ROT_dec31_dec_sub27_internal_op = 7'h00;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
SHIFT_ROT_dec31_dec_sub27_internal_op = 7'h20;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
SHIFT_ROT_dec31_dec_sub27_internal_op = 7'h3c;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
SHIFT_ROT_dec31_dec_sub27_internal_op = 7'h3d;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
SHIFT_ROT_dec31_dec_sub27_internal_op = 7'h3d;
endcase
always @* begin
if (\initial ) begin end
SHIFT_ROT_dec31_dec_sub27_SV_Ptype = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
SHIFT_ROT_dec31_dec_sub27_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
SHIFT_ROT_dec31_dec_sub27_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
SHIFT_ROT_dec31_dec_sub27_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
SHIFT_ROT_dec31_dec_sub27_SV_Ptype = 2'h1;
endcase
always @* begin
if (\initial ) begin end
SHIFT_ROT_dec31_dec_sub27_in2_sel = 4'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
SHIFT_ROT_dec31_dec_sub27_in2_sel = 4'ha;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
SHIFT_ROT_dec31_dec_sub27_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
SHIFT_ROT_dec31_dec_sub27_in2_sel = 4'ha;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
SHIFT_ROT_dec31_dec_sub27_in2_sel = 4'h1;
endcase
always @* begin
if (\initial ) begin end
SHIFT_ROT_dec31_dec_sub27_cr_in = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
SHIFT_ROT_dec31_dec_sub27_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
SHIFT_ROT_dec31_dec_sub27_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
SHIFT_ROT_dec31_dec_sub27_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
SHIFT_ROT_dec31_dec_sub27_cr_in = 3'h0;
endcase
always @* begin
if (\initial ) begin end
SHIFT_ROT_dec31_dec_sub27_cr_out = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
SHIFT_ROT_dec31_dec_sub27_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
SHIFT_ROT_dec31_dec_sub27_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
SHIFT_ROT_dec31_dec_sub27_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
SHIFT_ROT_dec31_dec_sub27_cr_out = 3'h1;
endcase
always @* begin
if (\initial ) begin end
SHIFT_ROT_dec31_dec_sub27_rc_sel = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
SHIFT_ROT_dec31_dec_sub27_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
SHIFT_ROT_dec31_dec_sub27_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
SHIFT_ROT_dec31_dec_sub27_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
SHIFT_ROT_dec31_dec_sub27_rc_sel = 2'h2;
endcase
always @* begin
if (\initial ) begin end
SHIFT_ROT_dec31_dec_sub27_cry_in = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
SHIFT_ROT_dec31_dec_sub27_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
SHIFT_ROT_dec31_dec_sub27_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
SHIFT_ROT_dec31_dec_sub27_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
SHIFT_ROT_dec31_dec_sub27_cry_in = 2'h0;
endcase
always @* begin
if (\initial ) begin end
SHIFT_ROT_dec31_dec_sub27_inv_a = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
SHIFT_ROT_dec31_dec_sub27_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
SHIFT_ROT_dec31_dec_sub27_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
SHIFT_ROT_dec31_dec_sub27_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
SHIFT_ROT_dec31_dec_sub27_inv_a = 1'h0;
endcase
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "P1" *)
(* enum_value_10 = "P2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] SPR_dec31_SV_Ptype;
reg [1:0] SPR_dec31_SV_Ptype;
(* enum_base_type = "CRInSel" *)
(* enum_value_101 = "BC" *)
(* enum_value_110 = "WHOLE_REG" *)
(* enum_value_111 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] SPR_dec31_cr_in;
reg [2:0] SPR_dec31_cr_in;
(* enum_base_type = "CROutSel" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] SPR_dec31_cr_out;
reg [2:0] SPR_dec31_cr_out;
(* enum_base_type = "SVPtype" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "P1" *)
(* enum_value_10 = "P2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] SPR_dec31_dec_sub19_SPR_dec31_dec_sub19_SV_Ptype;
(* enum_base_type = "CRInSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_101 = "BC" *)
(* enum_value_110 = "WHOLE_REG" *)
(* enum_value_111 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] SPR_dec31_dec_sub19_SPR_dec31_dec_sub19_cr_in;
(* enum_base_type = "CROutSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] SPR_dec31_dec_sub19_SPR_dec31_dec_sub19_cr_out;
(* enum_base_type = "Function" *)
(* enum_value_000000000000000 = "NONE" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [14:0] SPR_dec31_dec_sub19_SPR_dec31_dec_sub19_function_unit;
(* enum_base_type = "MicrOp" *)
(* enum_value_0000000 = "OP_ILLEGAL" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [6:0] SPR_dec31_dec_sub19_SPR_dec31_dec_sub19_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire SPR_dec31_dec_sub19_SPR_dec31_dec_sub19_is_32b;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] SPR_dec31_dec_sub19_SPR_dec31_dec_sub19_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
wire [31:0] SPR_dec31_dec_sub19_opcode_in;
(* enum_base_type = "Function" *)
(* enum_value_000000000000000 = "NONE" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [14:0] SPR_dec31_function_unit;
reg [14:0] SPR_dec31_function_unit;
(* enum_base_type = "MicrOp" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [6:0] SPR_dec31_internal_op;
reg [6:0] SPR_dec31_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output SPR_dec31_is_32b;
reg SPR_dec31_is_32b;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] SPR_dec31_rc_sel;
reg [1:0] SPR_dec31_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:355" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:357" *)
wire [4:0] opc_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
input [31:0] opcode_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
wire [9:0] opcode_switch;
SPR_dec31_dec_sub19 SPR_dec31_dec_sub19 (
.SPR_dec31_dec_sub19_SV_Ptype(SPR_dec31_dec_sub19_SPR_dec31_dec_sub19_SV_Ptype),
always @* begin
if (\initial ) begin end
SPR_dec31_function_unit = 15'h0000;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opc_in)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
SPR_dec31_function_unit = SPR_dec31_dec_sub19_SPR_dec31_dec_sub19_function_unit;
endcase
always @* begin
if (\initial ) begin end
SPR_dec31_internal_op = 7'h00;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opc_in)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
SPR_dec31_internal_op = SPR_dec31_dec_sub19_SPR_dec31_dec_sub19_internal_op;
endcase
always @* begin
if (\initial ) begin end
SPR_dec31_SV_Ptype = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opc_in)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
SPR_dec31_SV_Ptype = SPR_dec31_dec_sub19_SPR_dec31_dec_sub19_SV_Ptype;
endcase
always @* begin
if (\initial ) begin end
SPR_dec31_cr_in = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opc_in)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
SPR_dec31_cr_in = SPR_dec31_dec_sub19_SPR_dec31_dec_sub19_cr_in;
endcase
always @* begin
if (\initial ) begin end
SPR_dec31_cr_out = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opc_in)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
SPR_dec31_cr_out = SPR_dec31_dec_sub19_SPR_dec31_dec_sub19_cr_out;
endcase
always @* begin
if (\initial ) begin end
SPR_dec31_rc_sel = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opc_in)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
SPR_dec31_rc_sel = SPR_dec31_dec_sub19_SPR_dec31_dec_sub19_rc_sel;
endcase
always @* begin
if (\initial ) begin end
SPR_dec31_is_32b = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opc_in)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
SPR_dec31_is_32b = SPR_dec31_dec_sub19_SPR_dec31_dec_sub19_is_32b;
endcase
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "P1" *)
(* enum_value_10 = "P2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] SPR_dec31_dec_sub19_SV_Ptype;
reg [1:0] SPR_dec31_dec_sub19_SV_Ptype;
(* enum_base_type = "CRInSel" *)
(* enum_value_101 = "BC" *)
(* enum_value_110 = "WHOLE_REG" *)
(* enum_value_111 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] SPR_dec31_dec_sub19_cr_in;
reg [2:0] SPR_dec31_dec_sub19_cr_in;
(* enum_base_type = "CROutSel" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] SPR_dec31_dec_sub19_cr_out;
reg [2:0] SPR_dec31_dec_sub19_cr_out;
(* enum_base_type = "Function" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [14:0] SPR_dec31_dec_sub19_function_unit;
reg [14:0] SPR_dec31_dec_sub19_function_unit;
(* enum_base_type = "MicrOp" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [6:0] SPR_dec31_dec_sub19_internal_op;
reg [6:0] SPR_dec31_dec_sub19_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output SPR_dec31_dec_sub19_is_32b;
reg SPR_dec31_dec_sub19_is_32b;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] SPR_dec31_dec_sub19_rc_sel;
reg [1:0] SPR_dec31_dec_sub19_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
input [31:0] opcode_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
wire [4:0] opcode_switch;
always @* begin
if (\initial ) begin end
SPR_dec31_dec_sub19_function_unit = 15'h0000;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
SPR_dec31_dec_sub19_function_unit = 15'h0400;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
SPR_dec31_dec_sub19_function_unit = 15'h0400;
endcase
always @* begin
if (\initial ) begin end
SPR_dec31_dec_sub19_internal_op = 7'h00;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
SPR_dec31_dec_sub19_internal_op = 7'h2e;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
SPR_dec31_dec_sub19_internal_op = 7'h31;
endcase
always @* begin
if (\initial ) begin end
SPR_dec31_dec_sub19_SV_Ptype = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
SPR_dec31_dec_sub19_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
SPR_dec31_dec_sub19_SV_Ptype = 2'h2;
endcase
always @* begin
if (\initial ) begin end
SPR_dec31_dec_sub19_cr_in = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
SPR_dec31_dec_sub19_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
SPR_dec31_dec_sub19_cr_in = 3'h0;
endcase
always @* begin
if (\initial ) begin end
SPR_dec31_dec_sub19_cr_out = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
SPR_dec31_dec_sub19_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
SPR_dec31_dec_sub19_cr_out = 3'h0;
endcase
always @* begin
if (\initial ) begin end
SPR_dec31_dec_sub19_rc_sel = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
SPR_dec31_dec_sub19_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
SPR_dec31_dec_sub19_rc_sel = 2'h0;
endcase
always @* begin
if (\initial ) begin end
SPR_dec31_dec_sub19_is_32b = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
SPR_dec31_dec_sub19_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
SPR_dec31_dec_sub19_is_32b = 1'h0;
endcase
reg alu_alu0_alu_op__zero_a = 1'h0;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/base_input_record.py:22" *)
reg \alu_alu0_alu_op__zero_a$next ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [3:0] alu_alu0_cr_a;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:253" *)
wire alu_alu0_n_ready_i;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:252" *)
wire alu_alu0_n_valid_o;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [63:0] alu_alu0_o;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:160" *)
wire alu_alu0_p_ready_o;
wire [63:0] alu_alu0_ra;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
wire [63:0] alu_alu0_rb;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [1:0] alu_alu0_xer_ca;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
wire [1:0] \alu_alu0_xer_ca$2 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [1:0] alu_alu0_xer_ov;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire alu_alu0_xer_so;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
wire \alu_alu0_xer_so$1 ;
input coresync_clk;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/simple/issuer.py:932" *)
input coresync_rst;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output cr_a_ok;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/compalu_multi.py:107" *)
output cu_busy_o;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/compalu_multi.py:84" *)
output dest5_o;
reg dest5_o;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output o_ok;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/latch.py:67" *)
wire opc_l_q_opc;
wire \src_sel$85 ;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/compalu_multi.py:211" *)
wire wr_any;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output xer_ca_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output xer_ov_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output xer_so_ok;
assign \$5 = & (* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/compalu_multi.py:189" *) \$8 ;
assign \$99 = alu_alu0_p_ready_o & (* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/compalu_multi.py:327" *) alui_l_q_alui;
input coresync_clk;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/simple/issuer.py:932" *)
input coresync_rst;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [3:0] cr_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output cr_a_ok;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/concurrentunit.py:45" *)
wire [1:0] muxid;
input n_ready_i;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:252" *)
output n_valid_o;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [63:0] o;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output o_ok;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:160" *)
output p_ready_o;
wire pipe1_alu_op__zero_a;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/base_input_record.py:22" *)
wire \pipe1_alu_op__zero_a$13 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [3:0] pipe1_cr_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire pipe1_cr_a_ok;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/concurrentunit.py:45" *)
wire [1:0] pipe1_muxid;
wire pipe1_n_ready_i;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:252" *)
wire pipe1_n_valid_o;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [63:0] pipe1_o;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire pipe1_o_ok;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:160" *)
wire pipe1_p_ready_o;
wire [63:0] pipe1_ra;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
wire [63:0] pipe1_rb;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [1:0] pipe1_xer_ca;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
wire [1:0] \pipe1_xer_ca$27 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire pipe1_xer_ca_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [1:0] pipe1_xer_ov;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire pipe1_xer_ov_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire pipe1_xer_so;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
wire \pipe1_xer_so$26 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire pipe1_xer_so_ok;
(* enum_base_type = "SVPtype" *)
(* enum_value_00 = "NONE" *)
wire pipe2_alu_op__zero_a;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/base_input_record.py:22" *)
wire \pipe2_alu_op__zero_a$38 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [3:0] pipe2_cr_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [3:0] \pipe2_cr_a$53 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire pipe2_cr_a_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire \pipe2_cr_a_ok$54 ;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/concurrentunit.py:45" *)
wire [1:0] pipe2_muxid;
wire pipe2_n_ready_i;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:252" *)
wire pipe2_n_valid_o;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [63:0] pipe2_o;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [63:0] \pipe2_o$51 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire pipe2_o_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire \pipe2_o_ok$52 ;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:160" *)
wire pipe2_p_ready_o;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:159" *)
wire pipe2_p_valid_i;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [1:0] pipe2_xer_ca;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [1:0] \pipe2_xer_ca$55 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire pipe2_xer_ca_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire \pipe2_xer_ca_ok$56 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [1:0] pipe2_xer_ov;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [1:0] \pipe2_xer_ov$57 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire pipe2_xer_ov_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire \pipe2_xer_ov_ok$58 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire pipe2_xer_so;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire \pipe2_xer_so$59 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire pipe2_xer_so_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire \pipe2_xer_so_ok$60 ;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
input [63:0] ra;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
input [63:0] rb;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [1:0] xer_ca;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
input [1:0] \xer_ca$2 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output xer_ca_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [1:0] xer_ov;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output xer_ov_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output xer_so;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
input \xer_so$1 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output xer_so_ok;
n n (
.n_ready_i(n_ready_i),
input coresync_rst;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
input [3:0] cr_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [63:0] fast1;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
input [63:0] \fast1$1 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output fast1_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [63:0] fast2;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
input [63:0] \fast2$2 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output fast2_ok;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/concurrentunit.py:45" *)
wire [1:0] muxid;
input n_ready_i;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:252" *)
output n_valid_o;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [63:0] nia;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output nia_ok;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:160" *)
output p_ready_o;
wire [3:0] pipe_cr_a;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
wire [63:0] pipe_fast1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [63:0] \pipe_fast1$16 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire pipe_fast1_ok;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
wire [63:0] pipe_fast2;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [63:0] \pipe_fast2$17 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire pipe_fast2_ok;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/concurrentunit.py:45" *)
wire [1:0] pipe_muxid;
wire pipe_n_ready_i;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:252" *)
wire pipe_n_valid_o;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [63:0] pipe_nia;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire pipe_nia_ok;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:160" *)
wire pipe_p_ready_o;
input coresync_clk;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/simple/issuer.py:932" *)
input coresync_rst;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [3:0] cr_a;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
input [3:0] \cr_a$2 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output cr_a_ok;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
input [3:0] cr_b;
(* enum_value_10 = "UNSIGNED" *)
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/base_input_record.py:22" *)
wire [1:0] \cr_op__sv_saturate$19 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [31:0] full_cr;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
input [31:0] \full_cr$1 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output full_cr_ok;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/concurrentunit.py:45" *)
wire [1:0] muxid;
input n_ready_i;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:252" *)
output n_valid_o;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [63:0] o;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output o_ok;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:160" *)
output p_ready_o;
input p_valid_i;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
wire [3:0] pipe_cr_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [3:0] \pipe_cr_a$12 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire pipe_cr_a_ok;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
wire [3:0] pipe_cr_b;
wire [1:0] \pipe_cr_op__sv_saturate$9 ;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
wire [31:0] pipe_full_cr;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [31:0] \pipe_full_cr$11 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire pipe_full_cr_ok;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/concurrentunit.py:45" *)
wire [1:0] pipe_muxid;
wire pipe_n_ready_i;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:252" *)
wire pipe_n_valid_o;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [63:0] pipe_o;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire pipe_o_ok;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:160" *)
wire pipe_p_ready_o;
input coresync_clk;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/simple/issuer.py:932" *)
input coresync_rst;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [3:0] cr_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output cr_a_ok;
(* enum_base_type = "SVPtype" *)
(* enum_value_00 = "NONE" *)
input n_ready_i;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:252" *)
output n_valid_o;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [63:0] o;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output o_ok;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:160" *)
output p_ready_o;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:159" *)
input p_valid_i;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [3:0] pipe_end_cr_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire pipe_end_cr_a_ok;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/div/pipe_data.py:162" *)
wire pipe_end_div_by_zero;
wire pipe_end_n_ready_i;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:252" *)
wire pipe_end_n_valid_o;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [63:0] pipe_end_o;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire pipe_end_o_ok;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:160" *)
wire pipe_end_p_ready_o;
wire [63:0] pipe_end_rb;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/div/fsm.py:41" *)
wire [191:0] pipe_end_remainder;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [1:0] pipe_end_xer_ov;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire pipe_end_xer_ov_ok;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
wire pipe_end_xer_so;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire \pipe_end_xer_so$82 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire pipe_end_xer_so_ok;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/div/pipe_data.py:162" *)
wire pipe_middle_0_div_by_zero;
input [63:0] ra;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
input [63:0] rb;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [1:0] xer_ov;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output xer_ov_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output xer_so;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
input \xer_so$1 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output xer_so_ok;
\n$75 n (
.n_ready_i(n_ready_i),
input coresync_clk;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/simple/issuer.py:932" *)
input coresync_rst;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [3:0] cr_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output cr_a_ok;
(* enum_base_type = "SVPtype" *)
(* enum_value_00 = "NONE" *)
input logical_op__zero_a;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/base_input_record.py:22" *)
wire \logical_op__zero_a$62 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [3:0] logical_pipe1_cr_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire logical_pipe1_cr_a_ok;
(* enum_base_type = "SVPtype" *)
(* enum_value_00 = "NONE" *)
wire logical_pipe1_n_ready_i;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:252" *)
wire logical_pipe1_n_valid_o;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [63:0] logical_pipe1_o;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire logical_pipe1_o_ok;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:160" *)
wire logical_pipe1_p_ready_o;
wire [63:0] logical_pipe1_ra;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
wire [63:0] logical_pipe1_rb;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire logical_pipe1_xer_so;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
wire \logical_pipe1_xer_so$24 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire logical_pipe1_xer_so_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [3:0] logical_pipe2_cr_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [3:0] \logical_pipe2_cr_a$50 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire logical_pipe2_cr_a_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire \logical_pipe2_cr_a_ok$51 ;
(* enum_base_type = "SVPtype" *)
(* enum_value_00 = "NONE" *)
wire logical_pipe2_n_ready_i;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:252" *)
wire logical_pipe2_n_valid_o;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [63:0] logical_pipe2_o;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [63:0] \logical_pipe2_o$48 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire logical_pipe2_o_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire \logical_pipe2_o_ok$49 ;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:160" *)
wire logical_pipe2_p_ready_o;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:159" *)
wire logical_pipe2_p_valid_i;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire logical_pipe2_xer_so;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire logical_pipe2_xer_so_ok;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/concurrentunit.py:45" *)
wire [1:0] muxid;
input n_ready_i;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:252" *)
output n_valid_o;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [63:0] o;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output o_ok;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:160" *)
output p_ready_o;
input coresync_clk;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/simple/issuer.py:932" *)
input coresync_rst;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [3:0] cr_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output cr_a_ok;
(* enum_base_type = "SVPtype" *)
(* enum_value_00 = "NONE" *)
wire mul_pipe2_xer_so;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
wire \mul_pipe2_xer_so$39 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [3:0] mul_pipe3_cr_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire mul_pipe3_cr_a_ok;
(* enum_base_type = "SVPtype" *)
(* enum_value_00 = "NONE" *)
wire mul_pipe3_neg_res32;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
wire [128:0] mul_pipe3_o;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [63:0] \mul_pipe3_o$59 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire mul_pipe3_o_ok;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:160" *)
wire mul_pipe3_p_ready_o;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:159" *)
wire mul_pipe3_p_valid_i;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [1:0] mul_pipe3_xer_ov;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire mul_pipe3_xer_ov_ok;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
wire mul_pipe3_xer_so;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire \mul_pipe3_xer_so$60 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire mul_pipe3_xer_so_ok;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/concurrentunit.py:45" *)
wire [1:0] muxid;
input n_ready_i;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:252" *)
output n_valid_o;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [63:0] o;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output o_ok;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:160" *)
output p_ready_o;
input [63:0] ra;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
input [63:0] rb;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [1:0] xer_ov;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output xer_ov_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output xer_so;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
input \xer_so$1 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output xer_so_ok;
mul_pipe1 mul_pipe1 (
.coresync_clk(coresync_clk),
input coresync_clk;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/simple/issuer.py:932" *)
input coresync_rst;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [3:0] cr_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output cr_a_ok;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/concurrentunit.py:45" *)
wire [1:0] muxid;
input n_ready_i;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:252" *)
output n_valid_o;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [63:0] o;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output o_ok;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:160" *)
output p_ready_o;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:159" *)
input p_valid_i;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [3:0] pipe1_cr_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire pipe1_cr_a_ok;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/concurrentunit.py:45" *)
wire [1:0] pipe1_muxid;
wire pipe1_n_ready_i;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:252" *)
wire pipe1_n_valid_o;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [63:0] pipe1_o;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire pipe1_o_ok;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:160" *)
wire pipe1_p_ready_o;
wire pipe1_sr_op__write_cr0;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/base_input_record.py:22" *)
wire \pipe1_sr_op__write_cr0$11 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [1:0] pipe1_xer_ca;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
wire [1:0] \pipe1_xer_ca$25 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire pipe1_xer_ca_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire pipe1_xer_so;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
wire \pipe1_xer_so$24 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire pipe1_xer_so_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [3:0] pipe2_cr_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [3:0] \pipe2_cr_a$50 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire pipe2_cr_a_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire \pipe2_cr_a_ok$51 ;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/concurrentunit.py:45" *)
wire [1:0] pipe2_muxid;
wire pipe2_n_ready_i;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:252" *)
wire pipe2_n_valid_o;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [63:0] pipe2_o;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [63:0] \pipe2_o$48 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire pipe2_o_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire \pipe2_o_ok$49 ;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:160" *)
wire pipe2_p_ready_o;
wire pipe2_sr_op__write_cr0;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/base_input_record.py:22" *)
wire \pipe2_sr_op__write_cr0$35 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [1:0] pipe2_xer_ca;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [1:0] \pipe2_xer_ca$52 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire pipe2_xer_ca_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire \pipe2_xer_ca_ok$53 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire pipe2_xer_so;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire pipe2_xer_so_ok;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
input [63:0] ra;
input sr_op__write_cr0;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/base_input_record.py:22" *)
wire \sr_op__write_cr0$63 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [1:0] xer_ca;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
input [1:0] \xer_ca$1 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output xer_ca_ok;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
input xer_so;
input coresync_clk;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/simple/issuer.py:932" *)
input coresync_rst;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [63:0] fast1;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
input [63:0] \fast1$2 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output fast1_ok;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/concurrentunit.py:45" *)
wire [1:0] muxid;
input n_ready_i;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:252" *)
output n_valid_o;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [63:0] o;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output o_ok;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:160" *)
output p_ready_o;
input p_valid_i;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
wire [63:0] pipe_fast1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [63:0] \pipe_fast1$16 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire pipe_fast1_ok;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/concurrentunit.py:45" *)
wire [1:0] pipe_muxid;
wire pipe_n_ready_i;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:252" *)
wire pipe_n_valid_o;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [63:0] pipe_o;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire pipe_o_ok;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:160" *)
wire pipe_p_ready_o;
wire [63:0] pipe_ra;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
wire [63:0] pipe_spr1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [63:0] \pipe_spr1$15 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire pipe_spr1_ok;
(* enum_base_type = "SVPtype" *)
(* enum_value_00 = "NONE" *)
wire [1:0] \pipe_spr_op__sv_saturate$13 ;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
wire [1:0] pipe_xer_ca;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [1:0] \pipe_xer_ca$19 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire pipe_xer_ca_ok;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
wire [1:0] pipe_xer_ov;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [1:0] \pipe_xer_ov$18 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire pipe_xer_ov_ok;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
wire pipe_xer_so;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire \pipe_xer_so$17 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire pipe_xer_so_ok;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
input [63:0] ra;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [63:0] spr1;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
input [63:0] \spr1$1 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output spr1_ok;
(* enum_base_type = "SVPtype" *)
(* enum_value_00 = "NONE" *)
(* enum_value_10 = "UNSIGNED" *)
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/base_input_record.py:22" *)
wire [1:0] \spr_op__sv_saturate$27 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [1:0] xer_ca;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
input [1:0] \xer_ca$5 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output xer_ca_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [1:0] xer_ov;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
input [1:0] \xer_ov$4 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output xer_ov_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output xer_so;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
input \xer_so$3 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output xer_so_ok;
\n$63 n (
.n_ready_i(n_ready_i),
input coresync_clk;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/simple/issuer.py:932" *)
input coresync_rst;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [63:0] fast1;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
input [63:0] \fast1$1 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output fast1_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [63:0] fast2;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
input [63:0] \fast2$2 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output fast2_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [63:0] fast3;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
input [63:0] \fast3$3 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output fast3_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [63:0] msr;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output msr_ok;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/concurrentunit.py:45" *)
wire [1:0] muxid;
input n_ready_i;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:252" *)
output n_valid_o;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [63:0] nia;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output nia_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [63:0] o;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output o_ok;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:160" *)
output p_ready_o;
wire [7:0] \pipe1_trap_op__traptype$12 ;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
wire [63:0] pipe2_fast1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [63:0] \pipe2_fast1$39 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire pipe2_fast1_ok;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
wire [63:0] pipe2_fast2;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [63:0] \pipe2_fast2$40 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire pipe2_fast2_ok;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
wire [63:0] pipe2_fast3;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [63:0] \pipe2_fast3$41 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire pipe2_fast3_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [63:0] pipe2_msr;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire pipe2_msr_ok;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/concurrentunit.py:45" *)
wire [1:0] pipe2_muxid;
wire pipe2_n_ready_i;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:252" *)
wire pipe2_n_valid_o;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [63:0] pipe2_nia;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire pipe2_nia_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [63:0] pipe2_o;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire pipe2_o_ok;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:160" *)
wire pipe2_p_ready_o;
wire [63:0] pipe2_ra;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
wire [63:0] pipe2_rb;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [31:0] pipe2_svstate;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire pipe2_svstate_ok;
(* enum_base_type = "SVPtype" *)
(* enum_value_00 = "NONE" *)
input [63:0] ra;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
input [63:0] rb;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [31:0] svstate;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output svstate_ok;
(* enum_base_type = "SVPtype" *)
(* enum_value_00 = "NONE" *)
reg [1:0] \alu_branch0_br_op__sv_saturate$next ;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
wire [3:0] alu_branch0_cr_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [63:0] alu_branch0_fast1;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
wire [63:0] \alu_branch0_fast1$1 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [63:0] alu_branch0_fast2;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
wire [63:0] \alu_branch0_fast2$2 ;
wire alu_branch0_n_ready_i;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:252" *)
wire alu_branch0_n_valid_o;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [63:0] alu_branch0_nia;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:160" *)
wire alu_branch0_p_ready_o;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/compalu_multi.py:84" *)
output [63:0] dest3_o;
reg [63:0] dest3_o;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output fast1_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output fast2_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output nia_ok;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/latch.py:67" *)
wire opc_l_q_opc;
(* enum_value_10 = "UNSIGNED" *)
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:97" *)
input [1:0] core_core__sv_saturate;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:46" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:47" *)
input [63:0] core_core_cia;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
input [7:0] core_core_cr_rd;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
input core_core_cr_rd_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
input [7:0] core_core_cr_wr;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/exceptions.py:14" *)
input core_core_exc_alignment;
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:52" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:53" *)
input [14:0] core_core_fn_unit;
(* enum_base_type = "CryIn" *)
(* enum_value_00 = "ZERO" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "CA" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:56" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:57" *)
input [1:0] core_core_input_carry;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:50" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:51" *)
input [31:0] core_core_insn;
(* enum_base_type = "MicrOp" *)
(* enum_value_0000000 = "OP_ILLEGAL" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:51" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:52" *)
input [6:0] core_core_insn_type;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:62" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:63" *)
input core_core_is_32bit;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:45" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:46" *)
input [63:0] core_core_msr;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
input core_core_oe;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
input core_core_oe_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
input core_core_rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
input core_core_rc_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:47" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:48" *)
input [31:0] core_core_svstate;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:59" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:60" *)
input [12:0] core_core_trapaddr;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:57" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:58" *)
input [7:0] core_core_traptype;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
input [6:0] core_cr_in1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
input core_cr_in1_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
input [6:0] core_cr_in2;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
input [6:0] \core_cr_in2$1 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
input core_cr_in2_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
input \core_cr_in2_ok$2 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
input [6:0] core_cr_out;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
input [6:0] core_ea;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
input [2:0] core_fast1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
input core_fast1_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
input [2:0] core_fast2;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
input core_fast2_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
input [2:0] core_fast3;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
input core_fast3_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
input [2:0] core_fasto1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
input [2:0] core_fasto2;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
input [2:0] core_fasto3;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/state.py:17" *)
input [63:0] core_msr;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/state.py:16" *)
input [63:0] core_pc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
input [6:0] core_reg1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
input core_reg1_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
input [6:0] core_reg2;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
input core_reg2_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
input [6:0] core_reg3;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
input core_reg3_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
input [6:0] core_rego;
(* enum_base_type = "SPR" *)
(* enum_value_0000010010 = "DSISR" *)
(* enum_value_1011000000 = "SVSTATE" *)
(* enum_value_1011010000 = "PRTBL" *)
(* enum_value_1011010001 = "SVSRR0" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
input [9:0] core_spr1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
input core_spr1_ok;
(* enum_base_type = "SPR" *)
(* enum_value_0000010010 = "DSISR" *)
(* enum_value_1011000000 = "SVSTATE" *)
(* enum_value_1011010000 = "PRTBL" *)
(* enum_value_1011010001 = "SVSRR0" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
input [9:0] core_spro;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/simple/core.py:123" *)
output core_terminate_o;
reg core_terminate_o = 1'h0;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/simple/core.py:123" *)
reg \core_terminate_o$next ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:114" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:115" *)
input [2:0] core_xer_in;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/simple/core.py:120" *)
output corebusy_o;
wire dec_ALU_ALU__write_cr0;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/base_input_record.py:22" *)
wire dec_ALU_ALU__zero_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:479" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:481" *)
wire dec_ALU_bigendian;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:478" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:480" *)
wire [31:0] dec_ALU_raw_opcode_in;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:747" *)
wire dec_ALU_sv_a_nz;
wire dec_BRANCH_BRANCH__is_32bit;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/base_input_record.py:22" *)
wire dec_BRANCH_BRANCH__lk;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:479" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:481" *)
wire dec_BRANCH_bigendian;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:478" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:480" *)
wire [31:0] dec_BRANCH_raw_opcode_in;
(* enum_base_type = "Function" *)
(* enum_value_000000000000000 = "NONE" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/base_input_record.py:22" *)
wire [6:0] dec_CR_CR__insn_type;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:479" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:481" *)
wire dec_CR_bigendian;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:478" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:480" *)
wire [31:0] dec_CR_raw_opcode_in;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/base_input_record.py:22" *)
wire [3:0] dec_DIV_DIV__data_len;
wire dec_DIV_DIV__write_cr0;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/base_input_record.py:22" *)
wire dec_DIV_DIV__zero_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:479" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:481" *)
wire dec_DIV_bigendian;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:478" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:480" *)
wire [31:0] dec_DIV_raw_opcode_in;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:747" *)
wire dec_DIV_sv_a_nz;
wire dec_LDST_LDST__sign_extend;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/base_input_record.py:22" *)
wire dec_LDST_LDST__zero_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:479" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:481" *)
wire dec_LDST_bigendian;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:478" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:480" *)
wire [31:0] dec_LDST_raw_opcode_in;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:747" *)
wire dec_LDST_sv_a_nz;
wire dec_LOGICAL_LOGICAL__write_cr0;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/base_input_record.py:22" *)
wire dec_LOGICAL_LOGICAL__zero_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:479" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:481" *)
wire dec_LOGICAL_bigendian;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:478" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:480" *)
wire [31:0] dec_LOGICAL_raw_opcode_in;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:747" *)
wire dec_LOGICAL_sv_a_nz;
wire dec_MUL_MUL__rc__rc;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/base_input_record.py:22" *)
wire dec_MUL_MUL__write_cr0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:479" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:481" *)
wire dec_MUL_bigendian;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:478" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:480" *)
wire [31:0] dec_MUL_raw_opcode_in;
(* enum_base_type = "Function" *)
(* enum_value_000000000000000 = "NONE" *)
wire dec_SHIFT_ROT_SHIFT_ROT__rc__rc;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/base_input_record.py:22" *)
wire dec_SHIFT_ROT_SHIFT_ROT__write_cr0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:479" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:481" *)
wire dec_SHIFT_ROT_bigendian;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:478" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:480" *)
wire [31:0] dec_SHIFT_ROT_raw_opcode_in;
(* enum_base_type = "Function" *)
(* enum_value_000000000000000 = "NONE" *)
wire [6:0] dec_SPR_SPR__insn_type;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/base_input_record.py:22" *)
wire dec_SPR_SPR__is_32bit;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:479" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:481" *)
wire dec_SPR_bigendian;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:478" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:480" *)
wire [31:0] dec_SPR_raw_opcode_in;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:97" *)
input [4:0] dmi__addr;
reg dp_XER_xer_so_spr0_2 = 1'h0;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/simple/core.py:305" *)
reg \dp_XER_xer_so_spr0_2$next ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire ea_ok;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/simple/core.py:210" *)
wire en_alu0;
output [5:0] full_rd__data_o;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:97" *)
input [2:0] full_rd__ren;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire fus_cr_a_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire \fus_cr_a_ok$116 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire \fus_cr_a_ok$117 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire \fus_cr_a_ok$118 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire \fus_cr_a_ok$119 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire \fus_cr_a_ok$120 ;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/compalu_multi.py:107" *)
wire fus_cu_busy_o;
wire [63:0] \fus_dest6_o$156 ;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/compalu_multi.py:84" *)
wire [31:0] fus_dest7_o;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [63:0] fus_ea;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire fus_fast1_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire \fus_fast1_ok$144 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire \fus_fast1_ok$145 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire fus_fast2_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire \fus_fast2_ok$146 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire fus_fast3_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire fus_full_cr_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [95:0] fus_ldst_port0_addr_i;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire fus_ldst_port0_addr_i_ok;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/pimem.py:110" *)
wire fus_ldst_port0_addr_ok_o;
wire fus_ldst_port0_is_ld_i;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/pimem.py:100" *)
wire fus_ldst_port0_is_st_i;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [63:0] fus_ldst_port0_ld_data_o;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire fus_ldst_port0_ld_data_o_ok;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/pimem.py:120" *)
wire fus_ldst_port0_msr_pr;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [63:0] fus_ldst_port0_st_data_i;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire fus_ldst_port0_st_data_i_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire fus_msr_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire fus_nia_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire \fus_nia_ok$153 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [63:0] fus_o;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire fus_o_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire \fus_o_ok$101 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire \fus_o_ok$104 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire \fus_o_ok$86 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire \fus_o_ok$89 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire \fus_o_ok$92 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire \fus_o_ok$95 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire \fus_o_ok$98 ;
(* enum_base_type = "SVPtype" *)
(* enum_value_00 = "NONE" *)
reg [1:0] fus_oper_i_ldst_ldst0__sv_saturate;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/base_input_record.py:22" *)
reg fus_oper_i_ldst_ldst0__zero_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire fus_spr1_ok;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/compalu_multi.py:72" *)
reg [63:0] fus_src1_i;
reg [1:0] fus_src6_i;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/compalu_multi.py:72" *)
reg [3:0] \fus_src6_i$78 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire fus_svstate_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire fus_xer_ca_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire \fus_xer_ca_ok$126 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire \fus_xer_ca_ok$127 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire fus_xer_ov_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire \fus_xer_ov_ok$130 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire \fus_xer_ov_ok$131 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire \fus_xer_ov_ok$132 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire fus_xer_so_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire \fus_xer_so_ok$135 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire \fus_xer_so_ok$136 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire \fus_xer_so_ok$137 ;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:97" *)
wire [4:0] int_dest1__addr;
output [63:0] msr__data_o;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:97" *)
input [2:0] msr__ren;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire o_ok;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/simple/core.py:303" *)
wire pick_CR_cr_a_branch0_1;
wire all_rd_pulse;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/util.py:61" *)
wire all_rd_rise;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [3:0] alu_cr0_cr_a;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
wire [3:0] \alu_cr0_cr_a$2 ;
reg [1:0] alu_cr0_cr_op__sv_saturate = 2'h0;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/base_input_record.py:22" *)
reg [1:0] \alu_cr0_cr_op__sv_saturate$next ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [31:0] alu_cr0_full_cr;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
wire [31:0] \alu_cr0_full_cr$1 ;
wire alu_cr0_n_ready_i;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:252" *)
wire alu_cr0_n_valid_o;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [63:0] alu_cr0_o;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:160" *)
wire alu_cr0_p_ready_o;
input coresync_clk;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/simple/issuer.py:932" *)
input coresync_rst;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output cr_a_ok;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/compalu_multi.py:107" *)
output cu_busy_o;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/compalu_multi.py:84" *)
output [3:0] dest3_o;
reg [3:0] dest3_o;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output full_cr_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output o_ok;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/latch.py:67" *)
wire opc_l_q_opc;
(* generator = "nMigen" *)
module dec(bigendian, opcode_in, ALU_rc_sel, ALU_internal_op, ALU_SPR, ALU_function_unit, ALU_in1_sel, ALU_in2_sel, ALU_cr_out, ALU_ldst_len, ALU_inv_a, ALU_inv_out, ALU_cry_in, ALU_cry_out, ALU_is_32b, ALU_sgn, ALU_RA, ALU_SI, ALU_UI, ALU_SH32, ALU_sh, ALU_LI, ALU_Rc, ALU_OE, ALU_BD, ALU_DS, raw_opcode_in);
reg \initial = 0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:519" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:521" *)
wire [31:0] \$1 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire ALU_AA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] ALU_BA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] ALU_BB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] ALU_BC;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
output [13:0] ALU_BD;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [2:0] ALU_BF;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [1:0] ALU_BH;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] ALU_BI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] ALU_BO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] ALU_BT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [9:0] ALU_CR;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [15:0] ALU_D;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
output [13:0] ALU_DS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] ALU_FRA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] ALU_FRB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] ALU_FRC;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] ALU_FRS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] ALU_FRT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [7:0] ALU_FXM;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire ALU_L;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
output [23:0] ALU_LI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire ALU_LK;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] ALU_MB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] ALU_MB32;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] ALU_ME;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] ALU_ME32;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
output ALU_OE;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [5:0] ALU_PO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
output [4:0] ALU_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] ALU_RB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] ALU_RS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] ALU_RT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
output ALU_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] ALU_SH;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
output [4:0] ALU_SH32;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
output [15:0] ALU_SI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
output [9:0] ALU_SPR;
(* enum_base_type = "SVPtype" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "P1" *)
(* enum_value_10 = "P2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
reg [1:0] ALU_SV_Ptype;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] ALU_TO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
output [15:0] ALU_UI;
(* enum_base_type = "CRInSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_101 = "BC" *)
(* enum_value_110 = "WHOLE_REG" *)
(* enum_value_111 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
reg [2:0] ALU_cr_in;
(* enum_base_type = "CROutSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] ALU_cr_out;
reg [2:0] ALU_cr_out;
(* enum_base_type = "CryIn" *)
(* enum_value_00 = "ZERO" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "CA" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] ALU_cry_in;
reg [1:0] ALU_cry_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output ALU_cry_out;
reg ALU_cry_out;
(* enum_base_type = "SVPtype" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "P1" *)
(* enum_value_10 = "P2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] ALU_dec19_ALU_dec19_SV_Ptype;
(* enum_base_type = "CRInSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_101 = "BC" *)
(* enum_value_110 = "WHOLE_REG" *)
(* enum_value_111 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] ALU_dec19_ALU_dec19_cr_in;
(* enum_base_type = "CROutSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] ALU_dec19_ALU_dec19_cr_out;
(* enum_base_type = "CryIn" *)
(* enum_value_00 = "ZERO" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "CA" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] ALU_dec19_ALU_dec19_cry_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire ALU_dec19_ALU_dec19_cry_out;
(* enum_base_type = "Function" *)
(* enum_value_000000000000000 = "NONE" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [14:0] ALU_dec19_ALU_dec19_function_unit;
(* enum_base_type = "In1Sel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_100 = "RS" *)
(* enum_value_101 = "FRA" *)
(* enum_value_110 = "FRS" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] ALU_dec19_ALU_dec19_in1_sel;
(* enum_base_type = "In2Sel" *)
(* enum_value_0000 = "NONE" *)
(* enum_value_1100 = "SPR" *)
(* enum_value_1101 = "RS" *)
(* enum_value_1110 = "FRB" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [3:0] ALU_dec19_ALU_dec19_in2_sel;
(* enum_base_type = "MicrOp" *)
(* enum_value_0000000 = "OP_ILLEGAL" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [6:0] ALU_dec19_ALU_dec19_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire ALU_dec19_ALU_dec19_inv_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire ALU_dec19_ALU_dec19_inv_out;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire ALU_dec19_ALU_dec19_is_32b;
(* enum_base_type = "LdstLen" *)
(* enum_value_0000 = "NONE" *)
(* enum_value_0010 = "is2B" *)
(* enum_value_0100 = "is4B" *)
(* enum_value_1000 = "is8B" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [3:0] ALU_dec19_ALU_dec19_ldst_len;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] ALU_dec19_ALU_dec19_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire ALU_dec19_ALU_dec19_sgn;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
wire [31:0] ALU_dec19_opcode_in;
(* enum_base_type = "SVPtype" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "P1" *)
(* enum_value_10 = "P2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] ALU_dec31_ALU_dec31_SV_Ptype;
(* enum_base_type = "CRInSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_101 = "BC" *)
(* enum_value_110 = "WHOLE_REG" *)
(* enum_value_111 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] ALU_dec31_ALU_dec31_cr_in;
(* enum_base_type = "CROutSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] ALU_dec31_ALU_dec31_cr_out;
(* enum_base_type = "CryIn" *)
(* enum_value_00 = "ZERO" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "CA" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] ALU_dec31_ALU_dec31_cry_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire ALU_dec31_ALU_dec31_cry_out;
(* enum_base_type = "Function" *)
(* enum_value_000000000000000 = "NONE" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [14:0] ALU_dec31_ALU_dec31_function_unit;
(* enum_base_type = "In1Sel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_100 = "RS" *)
(* enum_value_101 = "FRA" *)
(* enum_value_110 = "FRS" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] ALU_dec31_ALU_dec31_in1_sel;
(* enum_base_type = "In2Sel" *)
(* enum_value_0000 = "NONE" *)
(* enum_value_1100 = "SPR" *)
(* enum_value_1101 = "RS" *)
(* enum_value_1110 = "FRB" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [3:0] ALU_dec31_ALU_dec31_in2_sel;
(* enum_base_type = "MicrOp" *)
(* enum_value_0000000 = "OP_ILLEGAL" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [6:0] ALU_dec31_ALU_dec31_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire ALU_dec31_ALU_dec31_inv_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire ALU_dec31_ALU_dec31_inv_out;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire ALU_dec31_ALU_dec31_is_32b;
(* enum_base_type = "LdstLen" *)
(* enum_value_0000 = "NONE" *)
(* enum_value_0010 = "is2B" *)
(* enum_value_0100 = "is4B" *)
(* enum_value_1000 = "is8B" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [3:0] ALU_dec31_ALU_dec31_ldst_len;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] ALU_dec31_ALU_dec31_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire ALU_dec31_ALU_dec31_sgn;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
wire [31:0] ALU_dec31_opcode_in;
(* enum_base_type = "Function" *)
(* enum_value_000000000000000 = "NONE" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [14:0] ALU_function_unit;
reg [14:0] ALU_function_unit;
(* enum_base_type = "In1Sel" *)
(* enum_value_100 = "RS" *)
(* enum_value_101 = "FRA" *)
(* enum_value_110 = "FRS" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] ALU_in1_sel;
reg [2:0] ALU_in1_sel;
(* enum_base_type = "In2Sel" *)
(* enum_value_1100 = "SPR" *)
(* enum_value_1101 = "RS" *)
(* enum_value_1110 = "FRB" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [3:0] ALU_in2_sel;
reg [3:0] ALU_in2_sel;
(* enum_base_type = "MicrOp" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [6:0] ALU_internal_op;
reg [6:0] ALU_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output ALU_inv_a;
reg ALU_inv_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output ALU_inv_out;
reg ALU_inv_out;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output ALU_is_32b;
reg ALU_is_32b;
(* enum_base_type = "LdstLen" *)
(* enum_value_0010 = "is2B" *)
(* enum_value_0100 = "is4B" *)
(* enum_value_1000 = "is8B" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [3:0] ALU_ldst_len;
reg [3:0] ALU_ldst_len;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] ALU_rc_sel;
reg [1:0] ALU_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output ALU_sgn;
reg ALU_sgn;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
output [5:0] ALU_sh;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] A_BC;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] A_FRA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] A_FRB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] A_FRC;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] A_FRT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] A_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] A_RB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] A_RT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire A_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] A_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire B_AA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [13:0] B_BD;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] B_BI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] B_BO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire B_LK;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DQE_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DQE_RT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] DQE_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [11:0] DQ_DQ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [3:0] DQ_PT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DQ_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DQ_RTp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DQ_S;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire DQ_SX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] DQ_SX_S;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DQ_T;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire DQ_TX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] DQ_TX_T;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] DQ_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [13:0] DS_DS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DS_FRSp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DS_FRTp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DS_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DS_RS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DS_RSp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DS_RT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DS_VRS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DS_VRT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] DS_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DX_RT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DX_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [9:0] DX_d0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [15:0] DX_d0_d1_d2;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DX_d1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire DX_d2;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] D_BF;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [15:0] D_D;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] D_FRS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] D_FRT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire D_L;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] D_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] D_RS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] D_RT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [15:0] D_SI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] D_TO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [15:0] D_UI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] EVS_BFA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire I_AA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [23:0] I_LI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire I_LK;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] MDS_IB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] MDS_IS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] MDS_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] MDS_RB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] MDS_RS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire MDS_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [3:0] MDS_XBI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [3:0] MDS_XBI_1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [3:0] MDS_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] MDS_mb;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] MDS_me;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] MD_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] MD_RS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire MD_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] MD_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] MD_mb;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] MD_me;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] MD_sh;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] M_MB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] M_ME;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] M_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] M_RB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] M_RS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire M_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] M_SH;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [6:0] SC_LEV;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire SC_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] SC_XO_1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] SVL_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] SVL_RT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire SVL_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [7:0] SVL_SVi;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] SVL_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire SVL_ms;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire SVL_vs;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] TX_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] TX_UI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [3:0] TX_XBI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] TX_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VA_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VA_RB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VA_RC;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VA_RT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [3:0] VA_SHB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VA_VRA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VA_VRB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VA_VRC;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VA_VRT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] VA_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire VC_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VC_VRA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VC_VRB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VC_VRT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [9:0] VC_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VX_EO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire VX_PS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VX_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VX_RT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VX_SIM;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VX_UIM;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [3:0] VX_UIM_1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] VX_UIM_2;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] VX_UIM_3;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VX_VRA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VX_VRB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VX_VRT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [9:0] VX_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [10:0] VX_XO_1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [7:0] XFL_FLM;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XFL_FRB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XFL_L;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XFL_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XFL_W;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [9:0] XFL_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [9:0] XFX_BHRBE;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XFX_DUI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [9:0] XFX_DUIS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [7:0] XFX_FXM;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XFX_RS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XFX_RT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [9:0] XFX_SPR;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [9:0] XFX_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XL_BA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XL_BB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] XL_BF;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] XL_BFA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] XL_BH;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XL_BI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XL_BO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XL_BO_1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XL_BT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XL_LK;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [14:0] XL_OC;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XL_S;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [9:0] XL_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XO_OE;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XO_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XO_RB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XO_RT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XO_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [8:0] XO_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XS_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XS_RS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XS_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [8:0] XS_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] XS_sh;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XX2_B;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] XX2_BF;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XX2_BX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] XX2_BX_B;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [6:0] XX2_DCMX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XX2_EO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XX2_RT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XX2_T;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XX2_TX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] XX2_TX_T;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [3:0] XX2_UIM;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] XX2_UIM_1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [6:0] XX2_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [8:0] XX2_XO_1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XX2_dc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [6:0] XX2_dc_dm_dx;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XX2_dm;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XX2_dx;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XX3_A;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XX3_AX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] XX3_AX_A;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XX3_B;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] XX3_BF;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XX3_BX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] XX3_BX_B;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] XX3_DM;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XX3_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] XX3_SHW;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XX3_T;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XX3_TX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] XX3_TX_T;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [3:0] XX3_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [7:0] XX3_XO_1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [8:0] XX3_XO_2;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XX4_A;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XX4_AX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] XX4_AX_A;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XX4_B;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XX4_BX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] XX4_BX_B;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XX4_C;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XX4_CX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] XX4_CX_C;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XX4_T;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XX4_TX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] XX4_TX_T;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] XX4_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire X_A;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] X_BF;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] X_BFA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_BO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [3:0] X_CT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [6:0] X_DCMX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] X_DRM;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire X_E;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] X_EO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_EO_1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire X_EX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [3:0] X_E_1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_FC;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_FRA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_FRAp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_FRB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_FRBp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_FRS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_FRSp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_FRT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_FRTp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] X_IH;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [7:0] X_IMM8;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire X_L;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire X_L1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] X_L2;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] X_L3;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_MO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_NB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire X_PRS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire X_R;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_RB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] X_RIC;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] X_RM;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire X_RO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_RS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_RSp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_RT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_RTp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire X_R_1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire X_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_S;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_SH;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_SI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] X_SP;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [3:0] X_SR;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire X_SX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] X_SX_S;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_T;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [9:0] X_TBR;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_TH;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_TO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire X_TX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] X_TX_T;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [3:0] X_U;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_UIM;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_VRS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_VRT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire X_W;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] X_WC;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [9:0] X_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [7:0] X_XO_1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] Z22_BF;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] Z22_DCM;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] Z22_DGM;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] Z22_FRA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] Z22_FRAp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] Z22_FRT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] Z22_FRTp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire Z22_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] Z22_SH;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [8:0] Z22_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] Z23_FRA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] Z23_FRAp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] Z23_FRB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] Z23_FRBp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] Z23_FRT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] Z23_FRTp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire Z23_R;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] Z23_RMC;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire Z23_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] Z23_TE;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [7:0] Z23_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] all_PO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:479" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:481" *)
input bigendian;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
output [31:0] opcode_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
wire [5:0] opcode_switch;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:478" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:480" *)
input [31:0] raw_opcode_in;
- assign \$1 = bigendian ? (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:519" *) { raw_opcode_in[7:0], raw_opcode_in[15:8], raw_opcode_in[23:16], raw_opcode_in[31:24] } : raw_opcode_in;
+ assign \$1 = bigendian ? (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:521" *) { raw_opcode_in[7:0], raw_opcode_in[15:8], raw_opcode_in[23:16], raw_opcode_in[31:24] } : raw_opcode_in;
ALU_dec19 ALU_dec19 (
.ALU_dec19_SV_Ptype(ALU_dec19_ALU_dec19_SV_Ptype),
.ALU_dec19_cr_in(ALU_dec19_ALU_dec19_cr_in),
always @* begin
if (\initial ) begin end
ALU_ldst_len = 4'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h13:
ALU_ldst_len = ALU_dec19_ALU_dec19_ldst_len;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1f:
ALU_ldst_len = ALU_dec31_ALU_dec31_ldst_len;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0c:
ALU_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0d:
ALU_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0e:
ALU_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0f:
ALU_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0b:
ALU_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0a:
ALU_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h08:
ALU_ldst_len = 4'h0;
endcase
always @* begin
if (\initial ) begin end
ALU_rc_sel = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h13:
ALU_rc_sel = ALU_dec19_ALU_dec19_rc_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1f:
ALU_rc_sel = ALU_dec31_ALU_dec31_rc_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0c:
ALU_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0d:
ALU_rc_sel = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0e:
ALU_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0f:
ALU_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0b:
ALU_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0a:
ALU_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h08:
ALU_rc_sel = 2'h0;
endcase
always @* begin
if (\initial ) begin end
ALU_cry_in = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h13:
ALU_cry_in = ALU_dec19_ALU_dec19_cry_in;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1f:
ALU_cry_in = ALU_dec31_ALU_dec31_cry_in;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0c:
ALU_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0d:
ALU_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0e:
ALU_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0f:
ALU_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0b:
ALU_cry_in = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0a:
ALU_cry_in = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h08:
ALU_cry_in = 2'h1;
endcase
always @* begin
if (\initial ) begin end
ALU_inv_a = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h13:
ALU_inv_a = ALU_dec19_ALU_dec19_inv_a;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1f:
ALU_inv_a = ALU_dec31_ALU_dec31_inv_a;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0c:
ALU_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0d:
ALU_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0e:
ALU_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0f:
ALU_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0b:
ALU_inv_a = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0a:
ALU_inv_a = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h08:
ALU_inv_a = 1'h1;
endcase
always @* begin
if (\initial ) begin end
ALU_inv_out = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h13:
ALU_inv_out = ALU_dec19_ALU_dec19_inv_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1f:
ALU_inv_out = ALU_dec31_ALU_dec31_inv_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0c:
ALU_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0d:
ALU_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0e:
ALU_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0f:
ALU_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0b:
ALU_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0a:
ALU_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h08:
ALU_inv_out = 1'h0;
endcase
always @* begin
if (\initial ) begin end
ALU_cry_out = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h13:
ALU_cry_out = ALU_dec19_ALU_dec19_cry_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1f:
ALU_cry_out = ALU_dec31_ALU_dec31_cry_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0c:
ALU_cry_out = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0d:
ALU_cry_out = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0e:
ALU_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0f:
ALU_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0b:
ALU_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0a:
ALU_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h08:
ALU_cry_out = 1'h1;
endcase
always @* begin
if (\initial ) begin end
ALU_is_32b = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h13:
ALU_is_32b = ALU_dec19_ALU_dec19_is_32b;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1f:
ALU_is_32b = ALU_dec31_ALU_dec31_is_32b;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0c:
ALU_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0d:
ALU_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0e:
ALU_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0f:
ALU_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0b:
ALU_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0a:
ALU_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h08:
ALU_is_32b = 1'h0;
endcase
always @* begin
if (\initial ) begin end
ALU_sgn = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h13:
ALU_sgn = ALU_dec19_ALU_dec19_sgn;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1f:
ALU_sgn = ALU_dec31_ALU_dec31_sgn;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0c:
ALU_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0d:
ALU_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0e:
ALU_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0f:
ALU_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0b:
ALU_sgn = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0a:
ALU_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h08:
ALU_sgn = 1'h0;
endcase
always @* begin
if (\initial ) begin end
ALU_function_unit = 15'h0000;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h13:
ALU_function_unit = ALU_dec19_ALU_dec19_function_unit;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1f:
ALU_function_unit = ALU_dec31_ALU_dec31_function_unit;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0c:
ALU_function_unit = 15'h0002;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0d:
ALU_function_unit = 15'h0002;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0e:
ALU_function_unit = 15'h0002;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0f:
ALU_function_unit = 15'h0002;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0b:
ALU_function_unit = 15'h0002;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0a:
ALU_function_unit = 15'h0002;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h08:
ALU_function_unit = 15'h0002;
endcase
always @* begin
if (\initial ) begin end
ALU_internal_op = 7'h00;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h13:
ALU_internal_op = ALU_dec19_ALU_dec19_internal_op;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1f:
ALU_internal_op = ALU_dec31_ALU_dec31_internal_op;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0c:
ALU_internal_op = 7'h02;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0d:
ALU_internal_op = 7'h02;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0e:
ALU_internal_op = 7'h02;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0f:
ALU_internal_op = 7'h02;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0b:
ALU_internal_op = 7'h0a;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0a:
ALU_internal_op = 7'h0a;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h08:
ALU_internal_op = 7'h02;
endcase
always @* begin
if (\initial ) begin end
ALU_SV_Ptype = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h13:
ALU_SV_Ptype = ALU_dec19_ALU_dec19_SV_Ptype;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1f:
ALU_SV_Ptype = ALU_dec31_ALU_dec31_SV_Ptype;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0c:
ALU_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0d:
ALU_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0e:
ALU_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0f:
ALU_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0b:
ALU_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0a:
ALU_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h08:
ALU_SV_Ptype = 2'h2;
endcase
always @* begin
if (\initial ) begin end
ALU_in1_sel = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h13:
ALU_in1_sel = ALU_dec19_ALU_dec19_in1_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1f:
ALU_in1_sel = ALU_dec31_ALU_dec31_in1_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0c:
ALU_in1_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0d:
ALU_in1_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0e:
ALU_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0f:
ALU_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0b:
ALU_in1_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0a:
ALU_in1_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h08:
ALU_in1_sel = 3'h1;
endcase
always @* begin
if (\initial ) begin end
ALU_in2_sel = 4'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h13:
ALU_in2_sel = ALU_dec19_ALU_dec19_in2_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1f:
ALU_in2_sel = ALU_dec31_ALU_dec31_in2_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0c:
ALU_in2_sel = 4'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0d:
ALU_in2_sel = 4'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0e:
ALU_in2_sel = 4'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0f:
ALU_in2_sel = 4'h5;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0b:
ALU_in2_sel = 4'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0a:
ALU_in2_sel = 4'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h08:
ALU_in2_sel = 4'h3;
endcase
always @* begin
if (\initial ) begin end
ALU_cr_in = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h13:
ALU_cr_in = ALU_dec19_ALU_dec19_cr_in;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1f:
ALU_cr_in = ALU_dec31_ALU_dec31_cr_in;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0c:
ALU_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0d:
ALU_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0e:
ALU_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0f:
ALU_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0b:
ALU_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0a:
ALU_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h08:
ALU_cr_in = 3'h0;
endcase
always @* begin
if (\initial ) begin end
ALU_cr_out = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h13:
ALU_cr_out = ALU_dec19_ALU_dec19_cr_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1f:
ALU_cr_out = ALU_dec31_ALU_dec31_cr_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0c:
ALU_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0d:
ALU_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0e:
ALU_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0f:
ALU_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0b:
ALU_cr_out = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0a:
ALU_cr_out = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h08:
ALU_cr_out = 3'h0;
endcase
(* generator = "nMigen" *)
module \dec$138 (bigendian, opcode_in, CR_rc_sel, CR_internal_op, CR_SPR, CR_function_unit, CR_cr_out, CR_Rc, CR_OE, raw_opcode_in);
reg \initial = 0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:519" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:521" *)
wire [31:0] \$1 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] A_BC;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] A_FRA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] A_FRB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] A_FRC;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] A_FRT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] A_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] A_RB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] A_RT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire A_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] A_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire B_AA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [13:0] B_BD;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] B_BI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] B_BO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire B_LK;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire CR_AA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] CR_BA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] CR_BB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] CR_BC;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [13:0] CR_BD;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [2:0] CR_BF;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [1:0] CR_BH;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] CR_BI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] CR_BO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] CR_BT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [9:0] CR_CR;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [15:0] CR_D;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [13:0] CR_DS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] CR_FRA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] CR_FRB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] CR_FRC;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] CR_FRS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] CR_FRT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [7:0] CR_FXM;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire CR_L;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [23:0] CR_LI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire CR_LK;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] CR_MB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] CR_MB32;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] CR_ME;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] CR_ME32;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
output CR_OE;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [5:0] CR_PO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] CR_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] CR_RB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] CR_RS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] CR_RT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
output CR_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] CR_SH;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] CR_SH32;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [15:0] CR_SI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
output [9:0] CR_SPR;
(* enum_base_type = "SVPtype" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "P1" *)
(* enum_value_10 = "P2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
reg [1:0] CR_SV_Ptype;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] CR_TO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [15:0] CR_UI;
(* enum_base_type = "CRInSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_101 = "BC" *)
(* enum_value_110 = "WHOLE_REG" *)
(* enum_value_111 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
reg [2:0] CR_cr_in;
(* enum_base_type = "CROutSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] CR_cr_out;
reg [2:0] CR_cr_out;
(* enum_base_type = "SVPtype" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "P1" *)
(* enum_value_10 = "P2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] CR_dec19_CR_dec19_SV_Ptype;
(* enum_base_type = "CRInSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_101 = "BC" *)
(* enum_value_110 = "WHOLE_REG" *)
(* enum_value_111 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] CR_dec19_CR_dec19_cr_in;
(* enum_base_type = "CROutSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] CR_dec19_CR_dec19_cr_out;
(* enum_base_type = "Function" *)
(* enum_value_000000000000000 = "NONE" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [14:0] CR_dec19_CR_dec19_function_unit;
(* enum_base_type = "MicrOp" *)
(* enum_value_0000000 = "OP_ILLEGAL" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [6:0] CR_dec19_CR_dec19_internal_op;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] CR_dec19_CR_dec19_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
wire [31:0] CR_dec19_opcode_in;
(* enum_base_type = "SVPtype" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "P1" *)
(* enum_value_10 = "P2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] CR_dec31_CR_dec31_SV_Ptype;
(* enum_base_type = "CRInSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_101 = "BC" *)
(* enum_value_110 = "WHOLE_REG" *)
(* enum_value_111 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] CR_dec31_CR_dec31_cr_in;
(* enum_base_type = "CROutSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] CR_dec31_CR_dec31_cr_out;
(* enum_base_type = "Function" *)
(* enum_value_000000000000000 = "NONE" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [14:0] CR_dec31_CR_dec31_function_unit;
(* enum_base_type = "MicrOp" *)
(* enum_value_0000000 = "OP_ILLEGAL" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [6:0] CR_dec31_CR_dec31_internal_op;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] CR_dec31_CR_dec31_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
wire [31:0] CR_dec31_opcode_in;
(* enum_base_type = "Function" *)
(* enum_value_000000000000000 = "NONE" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [14:0] CR_function_unit;
reg [14:0] CR_function_unit;
(* enum_base_type = "MicrOp" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [6:0] CR_internal_op;
reg [6:0] CR_internal_op;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] CR_rc_sel;
reg [1:0] CR_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [5:0] CR_sh;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DQE_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DQE_RT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] DQE_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [11:0] DQ_DQ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [3:0] DQ_PT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DQ_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DQ_RTp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DQ_S;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire DQ_SX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] DQ_SX_S;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DQ_T;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire DQ_TX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] DQ_TX_T;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] DQ_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [13:0] DS_DS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DS_FRSp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DS_FRTp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DS_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DS_RS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DS_RSp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DS_RT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DS_VRS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DS_VRT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] DS_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DX_RT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DX_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [9:0] DX_d0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [15:0] DX_d0_d1_d2;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DX_d1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire DX_d2;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] D_BF;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [15:0] D_D;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] D_FRS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] D_FRT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire D_L;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] D_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] D_RS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] D_RT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [15:0] D_SI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] D_TO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [15:0] D_UI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] EVS_BFA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire I_AA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [23:0] I_LI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire I_LK;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] MDS_IB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] MDS_IS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] MDS_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] MDS_RB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] MDS_RS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire MDS_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [3:0] MDS_XBI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [3:0] MDS_XBI_1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [3:0] MDS_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] MDS_mb;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] MDS_me;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] MD_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] MD_RS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire MD_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] MD_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] MD_mb;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] MD_me;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] MD_sh;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] M_MB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] M_ME;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] M_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] M_RB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] M_RS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire M_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] M_SH;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [6:0] SC_LEV;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire SC_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] SC_XO_1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] SVL_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] SVL_RT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire SVL_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [7:0] SVL_SVi;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] SVL_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire SVL_ms;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire SVL_vs;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] TX_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] TX_UI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [3:0] TX_XBI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] TX_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VA_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VA_RB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VA_RC;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VA_RT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [3:0] VA_SHB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VA_VRA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VA_VRB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VA_VRC;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VA_VRT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] VA_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire VC_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VC_VRA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VC_VRB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VC_VRT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [9:0] VC_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VX_EO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire VX_PS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VX_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VX_RT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VX_SIM;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VX_UIM;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [3:0] VX_UIM_1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] VX_UIM_2;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] VX_UIM_3;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VX_VRA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VX_VRB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VX_VRT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [9:0] VX_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [10:0] VX_XO_1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [7:0] XFL_FLM;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XFL_FRB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XFL_L;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XFL_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XFL_W;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [9:0] XFL_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [9:0] XFX_BHRBE;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XFX_DUI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [9:0] XFX_DUIS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [7:0] XFX_FXM;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XFX_RS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XFX_RT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [9:0] XFX_SPR;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [9:0] XFX_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XL_BA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XL_BB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] XL_BF;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] XL_BFA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] XL_BH;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XL_BI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XL_BO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XL_BO_1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XL_BT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XL_LK;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [14:0] XL_OC;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XL_S;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [9:0] XL_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XO_OE;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XO_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XO_RB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XO_RT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XO_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [8:0] XO_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XS_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XS_RS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XS_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [8:0] XS_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] XS_sh;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XX2_B;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] XX2_BF;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XX2_BX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] XX2_BX_B;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [6:0] XX2_DCMX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XX2_EO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XX2_RT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XX2_T;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XX2_TX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] XX2_TX_T;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [3:0] XX2_UIM;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] XX2_UIM_1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [6:0] XX2_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [8:0] XX2_XO_1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XX2_dc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [6:0] XX2_dc_dm_dx;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XX2_dm;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XX2_dx;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XX3_A;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XX3_AX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] XX3_AX_A;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XX3_B;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] XX3_BF;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XX3_BX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] XX3_BX_B;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] XX3_DM;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XX3_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] XX3_SHW;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XX3_T;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XX3_TX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] XX3_TX_T;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [3:0] XX3_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [7:0] XX3_XO_1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [8:0] XX3_XO_2;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XX4_A;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XX4_AX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] XX4_AX_A;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XX4_B;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XX4_BX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] XX4_BX_B;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XX4_C;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XX4_CX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] XX4_CX_C;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XX4_T;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XX4_TX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] XX4_TX_T;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] XX4_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire X_A;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] X_BF;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] X_BFA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_BO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [3:0] X_CT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [6:0] X_DCMX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] X_DRM;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire X_E;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] X_EO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_EO_1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire X_EX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [3:0] X_E_1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_FC;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_FRA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_FRAp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_FRB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_FRBp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_FRS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_FRSp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_FRT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_FRTp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] X_IH;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [7:0] X_IMM8;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire X_L;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire X_L1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] X_L2;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] X_L3;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_MO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_NB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire X_PRS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire X_R;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_RB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] X_RIC;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] X_RM;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire X_RO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_RS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_RSp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_RT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_RTp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire X_R_1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire X_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_S;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_SH;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_SI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] X_SP;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [3:0] X_SR;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire X_SX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] X_SX_S;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_T;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [9:0] X_TBR;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_TH;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_TO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire X_TX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] X_TX_T;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [3:0] X_U;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_UIM;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_VRS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_VRT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire X_W;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] X_WC;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [9:0] X_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [7:0] X_XO_1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] Z22_BF;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] Z22_DCM;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] Z22_DGM;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] Z22_FRA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] Z22_FRAp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] Z22_FRT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] Z22_FRTp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire Z22_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] Z22_SH;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [8:0] Z22_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] Z23_FRA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] Z23_FRAp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] Z23_FRB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] Z23_FRBp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] Z23_FRT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] Z23_FRTp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire Z23_R;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] Z23_RMC;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire Z23_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] Z23_TE;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [7:0] Z23_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] all_PO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:479" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:481" *)
input bigendian;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
output [31:0] opcode_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
wire [5:0] opcode_switch;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:478" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:480" *)
input [31:0] raw_opcode_in;
- assign \$1 = bigendian ? (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:519" *) { raw_opcode_in[7:0], raw_opcode_in[15:8], raw_opcode_in[23:16], raw_opcode_in[31:24] } : raw_opcode_in;
+ assign \$1 = bigendian ? (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:521" *) { raw_opcode_in[7:0], raw_opcode_in[15:8], raw_opcode_in[23:16], raw_opcode_in[31:24] } : raw_opcode_in;
CR_dec19 CR_dec19 (
.CR_dec19_SV_Ptype(CR_dec19_CR_dec19_SV_Ptype),
.CR_dec19_cr_in(CR_dec19_CR_dec19_cr_in),
always @* begin
if (\initial ) begin end
CR_function_unit = 15'h0000;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h13:
CR_function_unit = CR_dec19_CR_dec19_function_unit;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1f:
CR_function_unit = CR_dec31_CR_dec31_function_unit;
endcase
always @* begin
if (\initial ) begin end
CR_internal_op = 7'h00;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h13:
CR_internal_op = CR_dec19_CR_dec19_internal_op;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1f:
CR_internal_op = CR_dec31_CR_dec31_internal_op;
endcase
always @* begin
if (\initial ) begin end
CR_SV_Ptype = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h13:
CR_SV_Ptype = CR_dec19_CR_dec19_SV_Ptype;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1f:
CR_SV_Ptype = CR_dec31_CR_dec31_SV_Ptype;
endcase
always @* begin
if (\initial ) begin end
CR_cr_in = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h13:
CR_cr_in = CR_dec19_CR_dec19_cr_in;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1f:
CR_cr_in = CR_dec31_CR_dec31_cr_in;
endcase
always @* begin
if (\initial ) begin end
CR_cr_out = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h13:
CR_cr_out = CR_dec19_CR_dec19_cr_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1f:
CR_cr_out = CR_dec31_CR_dec31_cr_out;
endcase
always @* begin
if (\initial ) begin end
CR_rc_sel = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h13:
CR_rc_sel = CR_dec19_CR_dec19_rc_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1f:
CR_rc_sel = CR_dec31_CR_dec31_rc_sel;
endcase
(* generator = "nMigen" *)
module \dec$141 (bigendian, opcode_in, BRANCH_rc_sel, BRANCH_internal_op, BRANCH_SPR, BRANCH_function_unit, BRANCH_in2_sel, BRANCH_cr_out, BRANCH_is_32b, BRANCH_lk, BRANCH_LK, BRANCH_SI, BRANCH_UI, BRANCH_SH32, BRANCH_sh, BRANCH_LI, BRANCH_Rc, BRANCH_OE, BRANCH_BD, BRANCH_DS, raw_opcode_in);
reg \initial = 0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:519" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:521" *)
wire [31:0] \$1 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] A_BC;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] A_FRA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] A_FRB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] A_FRC;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] A_FRT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] A_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] A_RB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] A_RT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire A_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] A_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire BRANCH_AA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] BRANCH_BA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] BRANCH_BB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] BRANCH_BC;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
output [13:0] BRANCH_BD;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [2:0] BRANCH_BF;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [1:0] BRANCH_BH;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] BRANCH_BI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] BRANCH_BO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] BRANCH_BT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [9:0] BRANCH_CR;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [15:0] BRANCH_D;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
output [13:0] BRANCH_DS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] BRANCH_FRA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] BRANCH_FRB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] BRANCH_FRC;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] BRANCH_FRS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] BRANCH_FRT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [7:0] BRANCH_FXM;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire BRANCH_L;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
output [23:0] BRANCH_LI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
output BRANCH_LK;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] BRANCH_MB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] BRANCH_MB32;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] BRANCH_ME;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] BRANCH_ME32;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
output BRANCH_OE;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [5:0] BRANCH_PO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] BRANCH_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] BRANCH_RB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] BRANCH_RS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] BRANCH_RT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
output BRANCH_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] BRANCH_SH;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
output [4:0] BRANCH_SH32;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
output [15:0] BRANCH_SI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
output [9:0] BRANCH_SPR;
(* enum_base_type = "SVPtype" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "P1" *)
(* enum_value_10 = "P2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
reg [1:0] BRANCH_SV_Ptype;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] BRANCH_TO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
output [15:0] BRANCH_UI;
(* enum_base_type = "CRInSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_101 = "BC" *)
(* enum_value_110 = "WHOLE_REG" *)
(* enum_value_111 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
reg [2:0] BRANCH_cr_in;
(* enum_base_type = "CROutSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] BRANCH_cr_out;
reg [2:0] BRANCH_cr_out;
(* enum_base_type = "SVPtype" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "P1" *)
(* enum_value_10 = "P2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] BRANCH_dec19_BRANCH_dec19_SV_Ptype;
(* enum_base_type = "CRInSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_101 = "BC" *)
(* enum_value_110 = "WHOLE_REG" *)
(* enum_value_111 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] BRANCH_dec19_BRANCH_dec19_cr_in;
(* enum_base_type = "CROutSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] BRANCH_dec19_BRANCH_dec19_cr_out;
(* enum_base_type = "Function" *)
(* enum_value_000000000000000 = "NONE" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [14:0] BRANCH_dec19_BRANCH_dec19_function_unit;
(* enum_base_type = "In2Sel" *)
(* enum_value_0000 = "NONE" *)
(* enum_value_1100 = "SPR" *)
(* enum_value_1101 = "RS" *)
(* enum_value_1110 = "FRB" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [3:0] BRANCH_dec19_BRANCH_dec19_in2_sel;
(* enum_base_type = "MicrOp" *)
(* enum_value_0000000 = "OP_ILLEGAL" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [6:0] BRANCH_dec19_BRANCH_dec19_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire BRANCH_dec19_BRANCH_dec19_is_32b;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire BRANCH_dec19_BRANCH_dec19_lk;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] BRANCH_dec19_BRANCH_dec19_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
wire [31:0] BRANCH_dec19_opcode_in;
(* enum_base_type = "Function" *)
(* enum_value_000000000000000 = "NONE" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [14:0] BRANCH_function_unit;
reg [14:0] BRANCH_function_unit;
(* enum_base_type = "In2Sel" *)
(* enum_value_1100 = "SPR" *)
(* enum_value_1101 = "RS" *)
(* enum_value_1110 = "FRB" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [3:0] BRANCH_in2_sel;
reg [3:0] BRANCH_in2_sel;
(* enum_base_type = "MicrOp" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [6:0] BRANCH_internal_op;
reg [6:0] BRANCH_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output BRANCH_is_32b;
reg BRANCH_is_32b;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output BRANCH_lk;
reg BRANCH_lk;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] BRANCH_rc_sel;
reg [1:0] BRANCH_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
output [5:0] BRANCH_sh;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire B_AA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [13:0] B_BD;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] B_BI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] B_BO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire B_LK;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DQE_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DQE_RT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] DQE_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [11:0] DQ_DQ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [3:0] DQ_PT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DQ_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DQ_RTp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DQ_S;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire DQ_SX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] DQ_SX_S;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DQ_T;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire DQ_TX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] DQ_TX_T;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] DQ_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [13:0] DS_DS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DS_FRSp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DS_FRTp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DS_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DS_RS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DS_RSp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DS_RT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DS_VRS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DS_VRT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] DS_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DX_RT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DX_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [9:0] DX_d0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [15:0] DX_d0_d1_d2;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DX_d1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire DX_d2;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] D_BF;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [15:0] D_D;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] D_FRS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] D_FRT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire D_L;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] D_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] D_RS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] D_RT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [15:0] D_SI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] D_TO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [15:0] D_UI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] EVS_BFA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire I_AA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [23:0] I_LI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire I_LK;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] MDS_IB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] MDS_IS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] MDS_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] MDS_RB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] MDS_RS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire MDS_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [3:0] MDS_XBI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [3:0] MDS_XBI_1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [3:0] MDS_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] MDS_mb;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] MDS_me;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] MD_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] MD_RS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire MD_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] MD_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] MD_mb;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] MD_me;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] MD_sh;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] M_MB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] M_ME;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] M_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] M_RB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] M_RS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire M_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] M_SH;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [6:0] SC_LEV;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire SC_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] SC_XO_1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] SVL_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] SVL_RT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire SVL_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [7:0] SVL_SVi;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] SVL_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire SVL_ms;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire SVL_vs;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] TX_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] TX_UI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [3:0] TX_XBI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] TX_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VA_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VA_RB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VA_RC;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VA_RT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [3:0] VA_SHB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VA_VRA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VA_VRB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VA_VRC;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VA_VRT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] VA_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire VC_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VC_VRA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VC_VRB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VC_VRT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [9:0] VC_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VX_EO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire VX_PS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VX_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VX_RT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VX_SIM;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VX_UIM;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [3:0] VX_UIM_1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] VX_UIM_2;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] VX_UIM_3;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VX_VRA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VX_VRB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VX_VRT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [9:0] VX_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [10:0] VX_XO_1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [7:0] XFL_FLM;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XFL_FRB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XFL_L;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XFL_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XFL_W;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [9:0] XFL_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [9:0] XFX_BHRBE;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XFX_DUI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [9:0] XFX_DUIS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [7:0] XFX_FXM;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XFX_RS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XFX_RT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [9:0] XFX_SPR;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [9:0] XFX_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XL_BA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XL_BB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] XL_BF;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] XL_BFA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] XL_BH;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XL_BI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XL_BO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XL_BO_1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XL_BT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XL_LK;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [14:0] XL_OC;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XL_S;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [9:0] XL_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XO_OE;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XO_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XO_RB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XO_RT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XO_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [8:0] XO_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XS_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XS_RS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XS_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [8:0] XS_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] XS_sh;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XX2_B;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] XX2_BF;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XX2_BX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] XX2_BX_B;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [6:0] XX2_DCMX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XX2_EO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XX2_RT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XX2_T;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XX2_TX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] XX2_TX_T;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [3:0] XX2_UIM;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] XX2_UIM_1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [6:0] XX2_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [8:0] XX2_XO_1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XX2_dc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [6:0] XX2_dc_dm_dx;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XX2_dm;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XX2_dx;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XX3_A;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XX3_AX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] XX3_AX_A;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XX3_B;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] XX3_BF;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XX3_BX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] XX3_BX_B;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] XX3_DM;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XX3_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] XX3_SHW;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XX3_T;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XX3_TX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] XX3_TX_T;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [3:0] XX3_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [7:0] XX3_XO_1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [8:0] XX3_XO_2;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XX4_A;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XX4_AX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] XX4_AX_A;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XX4_B;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XX4_BX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] XX4_BX_B;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XX4_C;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XX4_CX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] XX4_CX_C;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XX4_T;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XX4_TX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] XX4_TX_T;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] XX4_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire X_A;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] X_BF;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] X_BFA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_BO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [3:0] X_CT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [6:0] X_DCMX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] X_DRM;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire X_E;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] X_EO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_EO_1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire X_EX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [3:0] X_E_1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_FC;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_FRA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_FRAp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_FRB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_FRBp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_FRS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_FRSp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_FRT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_FRTp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] X_IH;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [7:0] X_IMM8;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire X_L;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire X_L1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] X_L2;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] X_L3;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_MO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_NB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire X_PRS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire X_R;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_RB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] X_RIC;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] X_RM;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire X_RO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_RS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_RSp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_RT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_RTp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire X_R_1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire X_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_S;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_SH;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_SI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] X_SP;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [3:0] X_SR;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire X_SX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] X_SX_S;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_T;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [9:0] X_TBR;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_TH;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_TO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire X_TX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] X_TX_T;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [3:0] X_U;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_UIM;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_VRS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_VRT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire X_W;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] X_WC;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [9:0] X_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [7:0] X_XO_1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] Z22_BF;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] Z22_DCM;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] Z22_DGM;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] Z22_FRA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] Z22_FRAp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] Z22_FRT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] Z22_FRTp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire Z22_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] Z22_SH;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [8:0] Z22_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] Z23_FRA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] Z23_FRAp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] Z23_FRB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] Z23_FRBp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] Z23_FRT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] Z23_FRTp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire Z23_R;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] Z23_RMC;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire Z23_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] Z23_TE;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [7:0] Z23_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] all_PO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:479" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:481" *)
input bigendian;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
output [31:0] opcode_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
wire [5:0] opcode_switch;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:478" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:480" *)
input [31:0] raw_opcode_in;
- assign \$1 = bigendian ? (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:519" *) { raw_opcode_in[7:0], raw_opcode_in[15:8], raw_opcode_in[23:16], raw_opcode_in[31:24] } : raw_opcode_in;
+ assign \$1 = bigendian ? (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:521" *) { raw_opcode_in[7:0], raw_opcode_in[15:8], raw_opcode_in[23:16], raw_opcode_in[31:24] } : raw_opcode_in;
BRANCH_dec19 BRANCH_dec19 (
.BRANCH_dec19_SV_Ptype(BRANCH_dec19_BRANCH_dec19_SV_Ptype),
.BRANCH_dec19_cr_in(BRANCH_dec19_BRANCH_dec19_cr_in),
always @* begin
if (\initial ) begin end
BRANCH_lk = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h13:
BRANCH_lk = BRANCH_dec19_BRANCH_dec19_lk;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h12:
BRANCH_lk = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h10:
BRANCH_lk = 1'h1;
endcase
always @* begin
if (\initial ) begin end
BRANCH_function_unit = 15'h0000;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h13:
BRANCH_function_unit = BRANCH_dec19_BRANCH_dec19_function_unit;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h12:
BRANCH_function_unit = 15'h0020;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h10:
BRANCH_function_unit = 15'h0020;
endcase
always @* begin
if (\initial ) begin end
BRANCH_internal_op = 7'h00;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h13:
BRANCH_internal_op = BRANCH_dec19_BRANCH_dec19_internal_op;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h12:
BRANCH_internal_op = 7'h06;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h10:
BRANCH_internal_op = 7'h07;
endcase
always @* begin
if (\initial ) begin end
BRANCH_SV_Ptype = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h13:
BRANCH_SV_Ptype = BRANCH_dec19_BRANCH_dec19_SV_Ptype;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h12:
BRANCH_SV_Ptype = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h10:
BRANCH_SV_Ptype = 2'h0;
endcase
always @* begin
if (\initial ) begin end
BRANCH_in2_sel = 4'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h13:
BRANCH_in2_sel = BRANCH_dec19_BRANCH_dec19_in2_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h12:
BRANCH_in2_sel = 4'h6;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h10:
BRANCH_in2_sel = 4'h7;
endcase
always @* begin
if (\initial ) begin end
BRANCH_cr_in = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h13:
BRANCH_cr_in = BRANCH_dec19_BRANCH_dec19_cr_in;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h12:
BRANCH_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h10:
BRANCH_cr_in = 3'h2;
endcase
always @* begin
if (\initial ) begin end
BRANCH_cr_out = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h13:
BRANCH_cr_out = BRANCH_dec19_BRANCH_dec19_cr_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h12:
BRANCH_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h10:
BRANCH_cr_out = 3'h0;
endcase
always @* begin
if (\initial ) begin end
BRANCH_rc_sel = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h13:
BRANCH_rc_sel = BRANCH_dec19_BRANCH_dec19_rc_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h12:
BRANCH_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h10:
BRANCH_rc_sel = 2'h0;
endcase
always @* begin
if (\initial ) begin end
BRANCH_is_32b = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h13:
BRANCH_is_32b = BRANCH_dec19_BRANCH_dec19_is_32b;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h12:
BRANCH_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h10:
BRANCH_is_32b = 1'h0;
endcase
(* generator = "nMigen" *)
module \dec$145 (bigendian, opcode_in, LOGICAL_rc_sel, LOGICAL_internal_op, LOGICAL_SPR, LOGICAL_function_unit, LOGICAL_in1_sel, LOGICAL_in2_sel, LOGICAL_cr_out, LOGICAL_ldst_len, LOGICAL_inv_a, LOGICAL_inv_out, LOGICAL_cry_in, LOGICAL_cry_out, LOGICAL_is_32b, LOGICAL_sgn, LOGICAL_RA, LOGICAL_SI, LOGICAL_UI, LOGICAL_SH32, LOGICAL_sh, LOGICAL_LI, LOGICAL_Rc, LOGICAL_OE, LOGICAL_BD, LOGICAL_DS, raw_opcode_in);
reg \initial = 0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:519" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:521" *)
wire [31:0] \$1 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] A_BC;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] A_FRA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] A_FRB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] A_FRC;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] A_FRT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] A_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] A_RB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] A_RT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire A_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] A_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire B_AA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [13:0] B_BD;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] B_BI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] B_BO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire B_LK;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DQE_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DQE_RT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] DQE_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [11:0] DQ_DQ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [3:0] DQ_PT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DQ_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DQ_RTp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DQ_S;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire DQ_SX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] DQ_SX_S;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DQ_T;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire DQ_TX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] DQ_TX_T;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] DQ_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [13:0] DS_DS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DS_FRSp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DS_FRTp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DS_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DS_RS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DS_RSp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DS_RT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DS_VRS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DS_VRT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] DS_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DX_RT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DX_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [9:0] DX_d0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [15:0] DX_d0_d1_d2;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DX_d1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire DX_d2;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] D_BF;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [15:0] D_D;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] D_FRS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] D_FRT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire D_L;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] D_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] D_RS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] D_RT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [15:0] D_SI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] D_TO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [15:0] D_UI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] EVS_BFA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire I_AA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [23:0] I_LI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire I_LK;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire LOGICAL_AA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] LOGICAL_BA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] LOGICAL_BB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] LOGICAL_BC;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
output [13:0] LOGICAL_BD;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [2:0] LOGICAL_BF;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [1:0] LOGICAL_BH;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] LOGICAL_BI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] LOGICAL_BO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] LOGICAL_BT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [9:0] LOGICAL_CR;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [15:0] LOGICAL_D;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
output [13:0] LOGICAL_DS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] LOGICAL_FRA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] LOGICAL_FRB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] LOGICAL_FRC;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] LOGICAL_FRS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] LOGICAL_FRT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [7:0] LOGICAL_FXM;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire LOGICAL_L;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
output [23:0] LOGICAL_LI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire LOGICAL_LK;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] LOGICAL_MB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] LOGICAL_MB32;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] LOGICAL_ME;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] LOGICAL_ME32;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
output LOGICAL_OE;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [5:0] LOGICAL_PO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
output [4:0] LOGICAL_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] LOGICAL_RB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] LOGICAL_RS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] LOGICAL_RT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
output LOGICAL_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] LOGICAL_SH;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
output [4:0] LOGICAL_SH32;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
output [15:0] LOGICAL_SI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
output [9:0] LOGICAL_SPR;
(* enum_base_type = "SVPtype" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "P1" *)
(* enum_value_10 = "P2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
reg [1:0] LOGICAL_SV_Ptype;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] LOGICAL_TO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
output [15:0] LOGICAL_UI;
(* enum_base_type = "CRInSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_101 = "BC" *)
(* enum_value_110 = "WHOLE_REG" *)
(* enum_value_111 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
reg [2:0] LOGICAL_cr_in;
(* enum_base_type = "CROutSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] LOGICAL_cr_out;
reg [2:0] LOGICAL_cr_out;
(* enum_base_type = "CryIn" *)
(* enum_value_00 = "ZERO" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "CA" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] LOGICAL_cry_in;
reg [1:0] LOGICAL_cry_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output LOGICAL_cry_out;
reg LOGICAL_cry_out;
(* enum_base_type = "SVPtype" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "P1" *)
(* enum_value_10 = "P2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] LOGICAL_dec31_LOGICAL_dec31_SV_Ptype;
(* enum_base_type = "CRInSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_101 = "BC" *)
(* enum_value_110 = "WHOLE_REG" *)
(* enum_value_111 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] LOGICAL_dec31_LOGICAL_dec31_cr_in;
(* enum_base_type = "CROutSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] LOGICAL_dec31_LOGICAL_dec31_cr_out;
(* enum_base_type = "CryIn" *)
(* enum_value_00 = "ZERO" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "CA" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] LOGICAL_dec31_LOGICAL_dec31_cry_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire LOGICAL_dec31_LOGICAL_dec31_cry_out;
(* enum_base_type = "Function" *)
(* enum_value_000000000000000 = "NONE" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [14:0] LOGICAL_dec31_LOGICAL_dec31_function_unit;
(* enum_base_type = "In1Sel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_100 = "RS" *)
(* enum_value_101 = "FRA" *)
(* enum_value_110 = "FRS" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] LOGICAL_dec31_LOGICAL_dec31_in1_sel;
(* enum_base_type = "In2Sel" *)
(* enum_value_0000 = "NONE" *)
(* enum_value_1100 = "SPR" *)
(* enum_value_1101 = "RS" *)
(* enum_value_1110 = "FRB" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [3:0] LOGICAL_dec31_LOGICAL_dec31_in2_sel;
(* enum_base_type = "MicrOp" *)
(* enum_value_0000000 = "OP_ILLEGAL" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [6:0] LOGICAL_dec31_LOGICAL_dec31_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire LOGICAL_dec31_LOGICAL_dec31_inv_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire LOGICAL_dec31_LOGICAL_dec31_inv_out;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire LOGICAL_dec31_LOGICAL_dec31_is_32b;
(* enum_base_type = "LdstLen" *)
(* enum_value_0000 = "NONE" *)
(* enum_value_0010 = "is2B" *)
(* enum_value_0100 = "is4B" *)
(* enum_value_1000 = "is8B" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [3:0] LOGICAL_dec31_LOGICAL_dec31_ldst_len;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] LOGICAL_dec31_LOGICAL_dec31_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire LOGICAL_dec31_LOGICAL_dec31_sgn;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
wire [31:0] LOGICAL_dec31_opcode_in;
(* enum_base_type = "Function" *)
(* enum_value_000000000000000 = "NONE" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [14:0] LOGICAL_function_unit;
reg [14:0] LOGICAL_function_unit;
(* enum_base_type = "In1Sel" *)
(* enum_value_100 = "RS" *)
(* enum_value_101 = "FRA" *)
(* enum_value_110 = "FRS" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] LOGICAL_in1_sel;
reg [2:0] LOGICAL_in1_sel;
(* enum_base_type = "In2Sel" *)
(* enum_value_1100 = "SPR" *)
(* enum_value_1101 = "RS" *)
(* enum_value_1110 = "FRB" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [3:0] LOGICAL_in2_sel;
reg [3:0] LOGICAL_in2_sel;
(* enum_base_type = "MicrOp" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [6:0] LOGICAL_internal_op;
reg [6:0] LOGICAL_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output LOGICAL_inv_a;
reg LOGICAL_inv_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output LOGICAL_inv_out;
reg LOGICAL_inv_out;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output LOGICAL_is_32b;
reg LOGICAL_is_32b;
(* enum_base_type = "LdstLen" *)
(* enum_value_0010 = "is2B" *)
(* enum_value_0100 = "is4B" *)
(* enum_value_1000 = "is8B" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [3:0] LOGICAL_ldst_len;
reg [3:0] LOGICAL_ldst_len;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] LOGICAL_rc_sel;
reg [1:0] LOGICAL_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output LOGICAL_sgn;
reg LOGICAL_sgn;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
output [5:0] LOGICAL_sh;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] MDS_IB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] MDS_IS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] MDS_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] MDS_RB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] MDS_RS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire MDS_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [3:0] MDS_XBI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [3:0] MDS_XBI_1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [3:0] MDS_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] MDS_mb;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] MDS_me;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] MD_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] MD_RS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire MD_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] MD_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] MD_mb;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] MD_me;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] MD_sh;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] M_MB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] M_ME;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] M_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] M_RB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] M_RS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire M_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] M_SH;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [6:0] SC_LEV;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire SC_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] SC_XO_1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] SVL_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] SVL_RT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire SVL_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [7:0] SVL_SVi;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] SVL_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire SVL_ms;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire SVL_vs;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] TX_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] TX_UI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [3:0] TX_XBI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] TX_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VA_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VA_RB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VA_RC;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VA_RT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [3:0] VA_SHB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VA_VRA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VA_VRB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VA_VRC;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VA_VRT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] VA_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire VC_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VC_VRA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VC_VRB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VC_VRT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [9:0] VC_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VX_EO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire VX_PS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VX_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VX_RT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VX_SIM;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VX_UIM;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [3:0] VX_UIM_1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] VX_UIM_2;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] VX_UIM_3;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VX_VRA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VX_VRB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VX_VRT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [9:0] VX_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [10:0] VX_XO_1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [7:0] XFL_FLM;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XFL_FRB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XFL_L;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XFL_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XFL_W;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [9:0] XFL_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [9:0] XFX_BHRBE;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XFX_DUI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [9:0] XFX_DUIS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [7:0] XFX_FXM;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XFX_RS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XFX_RT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [9:0] XFX_SPR;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [9:0] XFX_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XL_BA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XL_BB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] XL_BF;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] XL_BFA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] XL_BH;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XL_BI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XL_BO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XL_BO_1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XL_BT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XL_LK;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [14:0] XL_OC;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XL_S;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [9:0] XL_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XO_OE;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XO_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XO_RB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XO_RT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XO_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [8:0] XO_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XS_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XS_RS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XS_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [8:0] XS_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] XS_sh;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XX2_B;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] XX2_BF;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XX2_BX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] XX2_BX_B;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [6:0] XX2_DCMX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XX2_EO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XX2_RT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XX2_T;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XX2_TX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] XX2_TX_T;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [3:0] XX2_UIM;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] XX2_UIM_1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [6:0] XX2_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [8:0] XX2_XO_1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XX2_dc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [6:0] XX2_dc_dm_dx;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XX2_dm;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XX2_dx;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XX3_A;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XX3_AX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] XX3_AX_A;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XX3_B;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] XX3_BF;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XX3_BX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] XX3_BX_B;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] XX3_DM;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XX3_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] XX3_SHW;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XX3_T;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XX3_TX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] XX3_TX_T;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [3:0] XX3_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [7:0] XX3_XO_1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [8:0] XX3_XO_2;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XX4_A;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XX4_AX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] XX4_AX_A;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XX4_B;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XX4_BX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] XX4_BX_B;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XX4_C;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XX4_CX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] XX4_CX_C;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XX4_T;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XX4_TX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] XX4_TX_T;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] XX4_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire X_A;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] X_BF;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] X_BFA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_BO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [3:0] X_CT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [6:0] X_DCMX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] X_DRM;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire X_E;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] X_EO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_EO_1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire X_EX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [3:0] X_E_1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_FC;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_FRA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_FRAp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_FRB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_FRBp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_FRS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_FRSp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_FRT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_FRTp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] X_IH;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [7:0] X_IMM8;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire X_L;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire X_L1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] X_L2;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] X_L3;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_MO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_NB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire X_PRS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire X_R;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_RB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] X_RIC;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] X_RM;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire X_RO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_RS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_RSp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_RT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_RTp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire X_R_1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire X_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_S;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_SH;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_SI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] X_SP;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [3:0] X_SR;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire X_SX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] X_SX_S;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_T;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [9:0] X_TBR;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_TH;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_TO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire X_TX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] X_TX_T;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [3:0] X_U;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_UIM;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_VRS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_VRT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire X_W;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] X_WC;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [9:0] X_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [7:0] X_XO_1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] Z22_BF;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] Z22_DCM;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] Z22_DGM;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] Z22_FRA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] Z22_FRAp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] Z22_FRT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] Z22_FRTp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire Z22_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] Z22_SH;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [8:0] Z22_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] Z23_FRA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] Z23_FRAp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] Z23_FRB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] Z23_FRBp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] Z23_FRT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] Z23_FRTp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire Z23_R;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] Z23_RMC;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire Z23_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] Z23_TE;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [7:0] Z23_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] all_PO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:479" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:481" *)
input bigendian;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
output [31:0] opcode_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
wire [5:0] opcode_switch;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:478" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:480" *)
input [31:0] raw_opcode_in;
- assign \$1 = bigendian ? (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:519" *) { raw_opcode_in[7:0], raw_opcode_in[15:8], raw_opcode_in[23:16], raw_opcode_in[31:24] } : raw_opcode_in;
+ assign \$1 = bigendian ? (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:521" *) { raw_opcode_in[7:0], raw_opcode_in[15:8], raw_opcode_in[23:16], raw_opcode_in[31:24] } : raw_opcode_in;
LOGICAL_dec31 LOGICAL_dec31 (
.LOGICAL_dec31_SV_Ptype(LOGICAL_dec31_LOGICAL_dec31_SV_Ptype),
.LOGICAL_dec31_cr_in(LOGICAL_dec31_LOGICAL_dec31_cr_in),
always @* begin
if (\initial ) begin end
LOGICAL_rc_sel = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1f:
LOGICAL_rc_sel = LOGICAL_dec31_LOGICAL_dec31_rc_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1c:
LOGICAL_rc_sel = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1d:
LOGICAL_rc_sel = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h18:
LOGICAL_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h19:
LOGICAL_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1a:
LOGICAL_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1b:
LOGICAL_rc_sel = 2'h0;
endcase
always @* begin
if (\initial ) begin end
LOGICAL_cry_in = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1f:
LOGICAL_cry_in = LOGICAL_dec31_LOGICAL_dec31_cry_in;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1c:
LOGICAL_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1d:
LOGICAL_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h18:
LOGICAL_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h19:
LOGICAL_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1a:
LOGICAL_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1b:
LOGICAL_cry_in = 2'h0;
endcase
always @* begin
if (\initial ) begin end
LOGICAL_inv_a = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1f:
LOGICAL_inv_a = LOGICAL_dec31_LOGICAL_dec31_inv_a;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1c:
LOGICAL_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1d:
LOGICAL_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h18:
LOGICAL_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h19:
LOGICAL_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1a:
LOGICAL_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1b:
LOGICAL_inv_a = 1'h0;
endcase
always @* begin
if (\initial ) begin end
LOGICAL_inv_out = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1f:
LOGICAL_inv_out = LOGICAL_dec31_LOGICAL_dec31_inv_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1c:
LOGICAL_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1d:
LOGICAL_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h18:
LOGICAL_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h19:
LOGICAL_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1a:
LOGICAL_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1b:
LOGICAL_inv_out = 1'h0;
endcase
always @* begin
if (\initial ) begin end
LOGICAL_cry_out = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1f:
LOGICAL_cry_out = LOGICAL_dec31_LOGICAL_dec31_cry_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1c:
LOGICAL_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1d:
LOGICAL_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h18:
LOGICAL_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h19:
LOGICAL_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1a:
LOGICAL_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1b:
LOGICAL_cry_out = 1'h0;
endcase
always @* begin
if (\initial ) begin end
LOGICAL_is_32b = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1f:
LOGICAL_is_32b = LOGICAL_dec31_LOGICAL_dec31_is_32b;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1c:
LOGICAL_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1d:
LOGICAL_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h18:
LOGICAL_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h19:
LOGICAL_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1a:
LOGICAL_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1b:
LOGICAL_is_32b = 1'h0;
endcase
always @* begin
if (\initial ) begin end
LOGICAL_sgn = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1f:
LOGICAL_sgn = LOGICAL_dec31_LOGICAL_dec31_sgn;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1c:
LOGICAL_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1d:
LOGICAL_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h18:
LOGICAL_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h19:
LOGICAL_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1a:
LOGICAL_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1b:
LOGICAL_sgn = 1'h0;
endcase
always @* begin
if (\initial ) begin end
LOGICAL_function_unit = 15'h0000;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1f:
LOGICAL_function_unit = LOGICAL_dec31_LOGICAL_dec31_function_unit;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1c:
LOGICAL_function_unit = 15'h0010;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1d:
LOGICAL_function_unit = 15'h0010;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h18:
LOGICAL_function_unit = 15'h0010;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h19:
LOGICAL_function_unit = 15'h0010;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1a:
LOGICAL_function_unit = 15'h0010;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1b:
LOGICAL_function_unit = 15'h0010;
endcase
always @* begin
if (\initial ) begin end
LOGICAL_internal_op = 7'h00;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1f:
LOGICAL_internal_op = LOGICAL_dec31_LOGICAL_dec31_internal_op;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1c:
LOGICAL_internal_op = 7'h04;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1d:
LOGICAL_internal_op = 7'h04;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h18:
LOGICAL_internal_op = 7'h35;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h19:
LOGICAL_internal_op = 7'h35;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1a:
LOGICAL_internal_op = 7'h43;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1b:
LOGICAL_internal_op = 7'h43;
endcase
always @* begin
if (\initial ) begin end
LOGICAL_SV_Ptype = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1f:
LOGICAL_SV_Ptype = LOGICAL_dec31_LOGICAL_dec31_SV_Ptype;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1c:
LOGICAL_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1d:
LOGICAL_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h18:
LOGICAL_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h19:
LOGICAL_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1a:
LOGICAL_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1b:
LOGICAL_SV_Ptype = 2'h2;
endcase
always @* begin
if (\initial ) begin end
LOGICAL_in1_sel = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1f:
LOGICAL_in1_sel = LOGICAL_dec31_LOGICAL_dec31_in1_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1c:
LOGICAL_in1_sel = 3'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1d:
LOGICAL_in1_sel = 3'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h18:
LOGICAL_in1_sel = 3'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h19:
LOGICAL_in1_sel = 3'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1a:
LOGICAL_in1_sel = 3'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1b:
LOGICAL_in1_sel = 3'h4;
endcase
always @* begin
if (\initial ) begin end
LOGICAL_in2_sel = 4'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1f:
LOGICAL_in2_sel = LOGICAL_dec31_LOGICAL_dec31_in2_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1c:
LOGICAL_in2_sel = 4'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1d:
LOGICAL_in2_sel = 4'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h18:
LOGICAL_in2_sel = 4'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h19:
LOGICAL_in2_sel = 4'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1a:
LOGICAL_in2_sel = 4'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1b:
LOGICAL_in2_sel = 4'h4;
endcase
always @* begin
if (\initial ) begin end
LOGICAL_cr_in = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1f:
LOGICAL_cr_in = LOGICAL_dec31_LOGICAL_dec31_cr_in;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1c:
LOGICAL_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1d:
LOGICAL_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h18:
LOGICAL_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h19:
LOGICAL_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1a:
LOGICAL_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1b:
LOGICAL_cr_in = 3'h0;
endcase
always @* begin
if (\initial ) begin end
LOGICAL_cr_out = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1f:
LOGICAL_cr_out = LOGICAL_dec31_LOGICAL_dec31_cr_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1c:
LOGICAL_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1d:
LOGICAL_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h18:
LOGICAL_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h19:
LOGICAL_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1a:
LOGICAL_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1b:
LOGICAL_cr_out = 3'h0;
endcase
always @* begin
if (\initial ) begin end
LOGICAL_ldst_len = 4'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1f:
LOGICAL_ldst_len = LOGICAL_dec31_LOGICAL_dec31_ldst_len;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1c:
LOGICAL_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1d:
LOGICAL_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h18:
LOGICAL_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h19:
LOGICAL_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1a:
LOGICAL_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1b:
LOGICAL_ldst_len = 4'h0;
endcase
(* generator = "nMigen" *)
module \dec$150 (bigendian, opcode_in, SPR_rc_sel, SPR_internal_op, SPR_SPR, SPR_function_unit, SPR_cr_out, SPR_is_32b, SPR_Rc, SPR_OE, raw_opcode_in);
reg \initial = 0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:519" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:521" *)
wire [31:0] \$1 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] A_BC;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] A_FRA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] A_FRB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] A_FRC;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] A_FRT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] A_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] A_RB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] A_RT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire A_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] A_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire B_AA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [13:0] B_BD;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] B_BI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] B_BO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire B_LK;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DQE_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DQE_RT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] DQE_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [11:0] DQ_DQ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [3:0] DQ_PT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DQ_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DQ_RTp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DQ_S;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire DQ_SX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] DQ_SX_S;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DQ_T;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire DQ_TX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] DQ_TX_T;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] DQ_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [13:0] DS_DS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DS_FRSp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DS_FRTp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DS_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DS_RS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DS_RSp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DS_RT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DS_VRS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DS_VRT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] DS_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DX_RT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DX_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [9:0] DX_d0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [15:0] DX_d0_d1_d2;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DX_d1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire DX_d2;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] D_BF;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [15:0] D_D;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] D_FRS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] D_FRT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire D_L;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] D_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] D_RS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] D_RT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [15:0] D_SI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] D_TO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [15:0] D_UI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] EVS_BFA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire I_AA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [23:0] I_LI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire I_LK;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] MDS_IB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] MDS_IS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] MDS_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] MDS_RB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] MDS_RS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire MDS_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [3:0] MDS_XBI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [3:0] MDS_XBI_1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [3:0] MDS_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] MDS_mb;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] MDS_me;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] MD_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] MD_RS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire MD_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] MD_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] MD_mb;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] MD_me;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] MD_sh;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] M_MB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] M_ME;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] M_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] M_RB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] M_RS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire M_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] M_SH;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [6:0] SC_LEV;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire SC_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] SC_XO_1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire SPR_AA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] SPR_BA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] SPR_BB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] SPR_BC;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [13:0] SPR_BD;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [2:0] SPR_BF;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [1:0] SPR_BH;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] SPR_BI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] SPR_BO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] SPR_BT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [9:0] SPR_CR;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [15:0] SPR_D;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [13:0] SPR_DS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] SPR_FRA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] SPR_FRB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] SPR_FRC;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] SPR_FRS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] SPR_FRT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [7:0] SPR_FXM;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire SPR_L;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [23:0] SPR_LI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire SPR_LK;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] SPR_MB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] SPR_MB32;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] SPR_ME;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] SPR_ME32;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
output SPR_OE;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [5:0] SPR_PO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] SPR_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] SPR_RB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] SPR_RS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] SPR_RT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
output SPR_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] SPR_SH;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] SPR_SH32;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [15:0] SPR_SI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
output [9:0] SPR_SPR;
(* enum_base_type = "SVPtype" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "P1" *)
(* enum_value_10 = "P2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
reg [1:0] SPR_SV_Ptype;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] SPR_TO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [15:0] SPR_UI;
(* enum_base_type = "CRInSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_101 = "BC" *)
(* enum_value_110 = "WHOLE_REG" *)
(* enum_value_111 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
reg [2:0] SPR_cr_in;
(* enum_base_type = "CROutSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] SPR_cr_out;
reg [2:0] SPR_cr_out;
(* enum_base_type = "SVPtype" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "P1" *)
(* enum_value_10 = "P2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] SPR_dec31_SPR_dec31_SV_Ptype;
(* enum_base_type = "CRInSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_101 = "BC" *)
(* enum_value_110 = "WHOLE_REG" *)
(* enum_value_111 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] SPR_dec31_SPR_dec31_cr_in;
(* enum_base_type = "CROutSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] SPR_dec31_SPR_dec31_cr_out;
(* enum_base_type = "Function" *)
(* enum_value_000000000000000 = "NONE" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [14:0] SPR_dec31_SPR_dec31_function_unit;
(* enum_base_type = "MicrOp" *)
(* enum_value_0000000 = "OP_ILLEGAL" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [6:0] SPR_dec31_SPR_dec31_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire SPR_dec31_SPR_dec31_is_32b;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] SPR_dec31_SPR_dec31_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
wire [31:0] SPR_dec31_opcode_in;
(* enum_base_type = "Function" *)
(* enum_value_000000000000000 = "NONE" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [14:0] SPR_function_unit;
reg [14:0] SPR_function_unit;
(* enum_base_type = "MicrOp" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [6:0] SPR_internal_op;
reg [6:0] SPR_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output SPR_is_32b;
reg SPR_is_32b;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] SPR_rc_sel;
reg [1:0] SPR_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [5:0] SPR_sh;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] SVL_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] SVL_RT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire SVL_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [7:0] SVL_SVi;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] SVL_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire SVL_ms;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire SVL_vs;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] TX_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] TX_UI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [3:0] TX_XBI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] TX_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VA_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VA_RB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VA_RC;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VA_RT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [3:0] VA_SHB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VA_VRA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VA_VRB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VA_VRC;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VA_VRT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] VA_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire VC_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VC_VRA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VC_VRB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VC_VRT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [9:0] VC_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VX_EO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire VX_PS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VX_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VX_RT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VX_SIM;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VX_UIM;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [3:0] VX_UIM_1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] VX_UIM_2;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] VX_UIM_3;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VX_VRA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VX_VRB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VX_VRT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [9:0] VX_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [10:0] VX_XO_1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [7:0] XFL_FLM;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XFL_FRB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XFL_L;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XFL_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XFL_W;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [9:0] XFL_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [9:0] XFX_BHRBE;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XFX_DUI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [9:0] XFX_DUIS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [7:0] XFX_FXM;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XFX_RS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XFX_RT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [9:0] XFX_SPR;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [9:0] XFX_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XL_BA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XL_BB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] XL_BF;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] XL_BFA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] XL_BH;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XL_BI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XL_BO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XL_BO_1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XL_BT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XL_LK;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [14:0] XL_OC;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XL_S;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [9:0] XL_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XO_OE;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XO_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XO_RB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XO_RT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XO_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [8:0] XO_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XS_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XS_RS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XS_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [8:0] XS_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] XS_sh;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XX2_B;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] XX2_BF;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XX2_BX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] XX2_BX_B;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [6:0] XX2_DCMX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XX2_EO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XX2_RT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XX2_T;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XX2_TX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] XX2_TX_T;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [3:0] XX2_UIM;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] XX2_UIM_1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [6:0] XX2_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [8:0] XX2_XO_1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XX2_dc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [6:0] XX2_dc_dm_dx;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XX2_dm;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XX2_dx;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XX3_A;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XX3_AX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] XX3_AX_A;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XX3_B;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] XX3_BF;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XX3_BX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] XX3_BX_B;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] XX3_DM;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XX3_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] XX3_SHW;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XX3_T;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XX3_TX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] XX3_TX_T;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [3:0] XX3_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [7:0] XX3_XO_1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [8:0] XX3_XO_2;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XX4_A;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XX4_AX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] XX4_AX_A;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XX4_B;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XX4_BX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] XX4_BX_B;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XX4_C;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XX4_CX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] XX4_CX_C;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XX4_T;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XX4_TX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] XX4_TX_T;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] XX4_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire X_A;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] X_BF;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] X_BFA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_BO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [3:0] X_CT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [6:0] X_DCMX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] X_DRM;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire X_E;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] X_EO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_EO_1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire X_EX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [3:0] X_E_1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_FC;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_FRA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_FRAp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_FRB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_FRBp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_FRS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_FRSp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_FRT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_FRTp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] X_IH;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [7:0] X_IMM8;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire X_L;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire X_L1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] X_L2;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] X_L3;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_MO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_NB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire X_PRS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire X_R;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_RB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] X_RIC;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] X_RM;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire X_RO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_RS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_RSp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_RT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_RTp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire X_R_1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire X_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_S;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_SH;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_SI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] X_SP;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [3:0] X_SR;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire X_SX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] X_SX_S;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_T;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [9:0] X_TBR;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_TH;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_TO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire X_TX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] X_TX_T;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [3:0] X_U;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_UIM;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_VRS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_VRT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire X_W;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] X_WC;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [9:0] X_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [7:0] X_XO_1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] Z22_BF;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] Z22_DCM;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] Z22_DGM;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] Z22_FRA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] Z22_FRAp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] Z22_FRT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] Z22_FRTp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire Z22_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] Z22_SH;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [8:0] Z22_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] Z23_FRA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] Z23_FRAp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] Z23_FRB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] Z23_FRBp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] Z23_FRT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] Z23_FRTp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire Z23_R;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] Z23_RMC;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire Z23_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] Z23_TE;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [7:0] Z23_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] all_PO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:479" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:481" *)
input bigendian;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
output [31:0] opcode_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
wire [5:0] opcode_switch;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:478" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:480" *)
input [31:0] raw_opcode_in;
- assign \$1 = bigendian ? (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:519" *) { raw_opcode_in[7:0], raw_opcode_in[15:8], raw_opcode_in[23:16], raw_opcode_in[31:24] } : raw_opcode_in;
+ assign \$1 = bigendian ? (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:521" *) { raw_opcode_in[7:0], raw_opcode_in[15:8], raw_opcode_in[23:16], raw_opcode_in[31:24] } : raw_opcode_in;
SPR_dec31 SPR_dec31 (
.SPR_dec31_SV_Ptype(SPR_dec31_SPR_dec31_SV_Ptype),
.SPR_dec31_cr_in(SPR_dec31_SPR_dec31_cr_in),
always @* begin
if (\initial ) begin end
SPR_function_unit = 15'h0000;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1f:
SPR_function_unit = SPR_dec31_SPR_dec31_function_unit;
endcase
always @* begin
if (\initial ) begin end
SPR_internal_op = 7'h00;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1f:
SPR_internal_op = SPR_dec31_SPR_dec31_internal_op;
endcase
always @* begin
if (\initial ) begin end
SPR_SV_Ptype = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1f:
SPR_SV_Ptype = SPR_dec31_SPR_dec31_SV_Ptype;
endcase
always @* begin
if (\initial ) begin end
SPR_cr_in = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1f:
SPR_cr_in = SPR_dec31_SPR_dec31_cr_in;
endcase
always @* begin
if (\initial ) begin end
SPR_cr_out = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1f:
SPR_cr_out = SPR_dec31_SPR_dec31_cr_out;
endcase
always @* begin
if (\initial ) begin end
SPR_rc_sel = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1f:
SPR_rc_sel = SPR_dec31_SPR_dec31_rc_sel;
endcase
always @* begin
if (\initial ) begin end
SPR_is_32b = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1f:
SPR_is_32b = SPR_dec31_SPR_dec31_is_32b;
endcase
(* generator = "nMigen" *)
module \dec$153 (bigendian, opcode_in, DIV_rc_sel, DIV_internal_op, DIV_SPR, DIV_function_unit, DIV_in1_sel, DIV_in2_sel, DIV_cr_out, DIV_ldst_len, DIV_inv_a, DIV_inv_out, DIV_cry_in, DIV_cry_out, DIV_is_32b, DIV_sgn, DIV_RA, DIV_SI, DIV_UI, DIV_SH32, DIV_sh, DIV_LI, DIV_Rc, DIV_OE, DIV_BD, DIV_DS, raw_opcode_in);
reg \initial = 0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:519" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:521" *)
wire [31:0] \$1 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] A_BC;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] A_FRA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] A_FRB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] A_FRC;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] A_FRT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] A_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] A_RB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] A_RT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire A_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] A_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire B_AA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [13:0] B_BD;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] B_BI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] B_BO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire B_LK;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire DIV_AA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] DIV_BA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] DIV_BB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] DIV_BC;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
output [13:0] DIV_BD;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [2:0] DIV_BF;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [1:0] DIV_BH;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] DIV_BI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] DIV_BO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] DIV_BT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [9:0] DIV_CR;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [15:0] DIV_D;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
output [13:0] DIV_DS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] DIV_FRA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] DIV_FRB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] DIV_FRC;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] DIV_FRS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] DIV_FRT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [7:0] DIV_FXM;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire DIV_L;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
output [23:0] DIV_LI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire DIV_LK;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] DIV_MB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] DIV_MB32;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] DIV_ME;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] DIV_ME32;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
output DIV_OE;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [5:0] DIV_PO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
output [4:0] DIV_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] DIV_RB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] DIV_RS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] DIV_RT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
output DIV_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] DIV_SH;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
output [4:0] DIV_SH32;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
output [15:0] DIV_SI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
output [9:0] DIV_SPR;
(* enum_base_type = "SVPtype" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "P1" *)
(* enum_value_10 = "P2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
reg [1:0] DIV_SV_Ptype;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] DIV_TO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
output [15:0] DIV_UI;
(* enum_base_type = "CRInSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_101 = "BC" *)
(* enum_value_110 = "WHOLE_REG" *)
(* enum_value_111 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
reg [2:0] DIV_cr_in;
(* enum_base_type = "CROutSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] DIV_cr_out;
reg [2:0] DIV_cr_out;
(* enum_base_type = "CryIn" *)
(* enum_value_00 = "ZERO" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "CA" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] DIV_cry_in;
reg [1:0] DIV_cry_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output DIV_cry_out;
reg DIV_cry_out;
(* enum_base_type = "SVPtype" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "P1" *)
(* enum_value_10 = "P2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] DIV_dec31_DIV_dec31_SV_Ptype;
(* enum_base_type = "CRInSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_101 = "BC" *)
(* enum_value_110 = "WHOLE_REG" *)
(* enum_value_111 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] DIV_dec31_DIV_dec31_cr_in;
(* enum_base_type = "CROutSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] DIV_dec31_DIV_dec31_cr_out;
(* enum_base_type = "CryIn" *)
(* enum_value_00 = "ZERO" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "CA" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] DIV_dec31_DIV_dec31_cry_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire DIV_dec31_DIV_dec31_cry_out;
(* enum_base_type = "Function" *)
(* enum_value_000000000000000 = "NONE" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [14:0] DIV_dec31_DIV_dec31_function_unit;
(* enum_base_type = "In1Sel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_100 = "RS" *)
(* enum_value_101 = "FRA" *)
(* enum_value_110 = "FRS" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] DIV_dec31_DIV_dec31_in1_sel;
(* enum_base_type = "In2Sel" *)
(* enum_value_0000 = "NONE" *)
(* enum_value_1100 = "SPR" *)
(* enum_value_1101 = "RS" *)
(* enum_value_1110 = "FRB" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [3:0] DIV_dec31_DIV_dec31_in2_sel;
(* enum_base_type = "MicrOp" *)
(* enum_value_0000000 = "OP_ILLEGAL" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [6:0] DIV_dec31_DIV_dec31_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire DIV_dec31_DIV_dec31_inv_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire DIV_dec31_DIV_dec31_inv_out;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire DIV_dec31_DIV_dec31_is_32b;
(* enum_base_type = "LdstLen" *)
(* enum_value_0000 = "NONE" *)
(* enum_value_0010 = "is2B" *)
(* enum_value_0100 = "is4B" *)
(* enum_value_1000 = "is8B" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [3:0] DIV_dec31_DIV_dec31_ldst_len;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] DIV_dec31_DIV_dec31_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire DIV_dec31_DIV_dec31_sgn;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
wire [31:0] DIV_dec31_opcode_in;
(* enum_base_type = "Function" *)
(* enum_value_000000000000000 = "NONE" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [14:0] DIV_function_unit;
reg [14:0] DIV_function_unit;
(* enum_base_type = "In1Sel" *)
(* enum_value_100 = "RS" *)
(* enum_value_101 = "FRA" *)
(* enum_value_110 = "FRS" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] DIV_in1_sel;
reg [2:0] DIV_in1_sel;
(* enum_base_type = "In2Sel" *)
(* enum_value_1100 = "SPR" *)
(* enum_value_1101 = "RS" *)
(* enum_value_1110 = "FRB" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [3:0] DIV_in2_sel;
reg [3:0] DIV_in2_sel;
(* enum_base_type = "MicrOp" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [6:0] DIV_internal_op;
reg [6:0] DIV_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output DIV_inv_a;
reg DIV_inv_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output DIV_inv_out;
reg DIV_inv_out;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output DIV_is_32b;
reg DIV_is_32b;
(* enum_base_type = "LdstLen" *)
(* enum_value_0010 = "is2B" *)
(* enum_value_0100 = "is4B" *)
(* enum_value_1000 = "is8B" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [3:0] DIV_ldst_len;
reg [3:0] DIV_ldst_len;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] DIV_rc_sel;
reg [1:0] DIV_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output DIV_sgn;
reg DIV_sgn;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
output [5:0] DIV_sh;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DQE_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DQE_RT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] DQE_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [11:0] DQ_DQ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [3:0] DQ_PT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DQ_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DQ_RTp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DQ_S;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire DQ_SX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] DQ_SX_S;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DQ_T;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire DQ_TX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] DQ_TX_T;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] DQ_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [13:0] DS_DS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DS_FRSp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DS_FRTp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DS_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DS_RS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DS_RSp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DS_RT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DS_VRS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DS_VRT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] DS_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DX_RT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DX_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [9:0] DX_d0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [15:0] DX_d0_d1_d2;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DX_d1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire DX_d2;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] D_BF;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [15:0] D_D;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] D_FRS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] D_FRT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire D_L;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] D_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] D_RS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] D_RT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [15:0] D_SI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] D_TO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [15:0] D_UI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] EVS_BFA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire I_AA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [23:0] I_LI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire I_LK;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] MDS_IB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] MDS_IS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] MDS_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] MDS_RB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] MDS_RS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire MDS_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [3:0] MDS_XBI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [3:0] MDS_XBI_1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [3:0] MDS_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] MDS_mb;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] MDS_me;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] MD_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] MD_RS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire MD_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] MD_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] MD_mb;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] MD_me;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] MD_sh;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] M_MB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] M_ME;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] M_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] M_RB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] M_RS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire M_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] M_SH;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [6:0] SC_LEV;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire SC_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] SC_XO_1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] SVL_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] SVL_RT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire SVL_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [7:0] SVL_SVi;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] SVL_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire SVL_ms;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire SVL_vs;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] TX_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] TX_UI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [3:0] TX_XBI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] TX_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VA_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VA_RB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VA_RC;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VA_RT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [3:0] VA_SHB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VA_VRA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VA_VRB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VA_VRC;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VA_VRT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] VA_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire VC_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VC_VRA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VC_VRB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VC_VRT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [9:0] VC_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VX_EO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire VX_PS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VX_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VX_RT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VX_SIM;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VX_UIM;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [3:0] VX_UIM_1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] VX_UIM_2;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] VX_UIM_3;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VX_VRA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VX_VRB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VX_VRT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [9:0] VX_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [10:0] VX_XO_1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [7:0] XFL_FLM;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XFL_FRB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XFL_L;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XFL_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XFL_W;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [9:0] XFL_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [9:0] XFX_BHRBE;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XFX_DUI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [9:0] XFX_DUIS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [7:0] XFX_FXM;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XFX_RS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XFX_RT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [9:0] XFX_SPR;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [9:0] XFX_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XL_BA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XL_BB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] XL_BF;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] XL_BFA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] XL_BH;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XL_BI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XL_BO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XL_BO_1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XL_BT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XL_LK;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [14:0] XL_OC;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XL_S;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [9:0] XL_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XO_OE;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XO_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XO_RB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XO_RT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XO_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [8:0] XO_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XS_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XS_RS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XS_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [8:0] XS_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] XS_sh;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XX2_B;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] XX2_BF;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XX2_BX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] XX2_BX_B;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [6:0] XX2_DCMX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XX2_EO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XX2_RT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XX2_T;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XX2_TX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] XX2_TX_T;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [3:0] XX2_UIM;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] XX2_UIM_1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [6:0] XX2_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [8:0] XX2_XO_1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XX2_dc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [6:0] XX2_dc_dm_dx;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XX2_dm;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XX2_dx;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XX3_A;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XX3_AX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] XX3_AX_A;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XX3_B;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] XX3_BF;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XX3_BX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] XX3_BX_B;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] XX3_DM;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XX3_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] XX3_SHW;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XX3_T;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XX3_TX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] XX3_TX_T;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [3:0] XX3_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [7:0] XX3_XO_1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [8:0] XX3_XO_2;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XX4_A;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XX4_AX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] XX4_AX_A;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XX4_B;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XX4_BX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] XX4_BX_B;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XX4_C;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XX4_CX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] XX4_CX_C;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XX4_T;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XX4_TX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] XX4_TX_T;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] XX4_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire X_A;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] X_BF;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] X_BFA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_BO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [3:0] X_CT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [6:0] X_DCMX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] X_DRM;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire X_E;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] X_EO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_EO_1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire X_EX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [3:0] X_E_1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_FC;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_FRA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_FRAp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_FRB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_FRBp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_FRS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_FRSp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_FRT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_FRTp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] X_IH;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [7:0] X_IMM8;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire X_L;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire X_L1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] X_L2;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] X_L3;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_MO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_NB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire X_PRS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire X_R;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_RB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] X_RIC;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] X_RM;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire X_RO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_RS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_RSp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_RT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_RTp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire X_R_1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire X_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_S;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_SH;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_SI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] X_SP;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [3:0] X_SR;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire X_SX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] X_SX_S;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_T;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [9:0] X_TBR;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_TH;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_TO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire X_TX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] X_TX_T;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [3:0] X_U;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_UIM;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_VRS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_VRT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire X_W;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] X_WC;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [9:0] X_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [7:0] X_XO_1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] Z22_BF;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] Z22_DCM;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] Z22_DGM;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] Z22_FRA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] Z22_FRAp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] Z22_FRT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] Z22_FRTp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire Z22_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] Z22_SH;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [8:0] Z22_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] Z23_FRA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] Z23_FRAp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] Z23_FRB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] Z23_FRBp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] Z23_FRT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] Z23_FRTp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire Z23_R;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] Z23_RMC;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire Z23_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] Z23_TE;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [7:0] Z23_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] all_PO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:479" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:481" *)
input bigendian;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
output [31:0] opcode_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
wire [5:0] opcode_switch;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:478" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:480" *)
input [31:0] raw_opcode_in;
- assign \$1 = bigendian ? (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:519" *) { raw_opcode_in[7:0], raw_opcode_in[15:8], raw_opcode_in[23:16], raw_opcode_in[31:24] } : raw_opcode_in;
+ assign \$1 = bigendian ? (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:521" *) { raw_opcode_in[7:0], raw_opcode_in[15:8], raw_opcode_in[23:16], raw_opcode_in[31:24] } : raw_opcode_in;
DIV_dec31 DIV_dec31 (
.DIV_dec31_SV_Ptype(DIV_dec31_DIV_dec31_SV_Ptype),
.DIV_dec31_cr_in(DIV_dec31_DIV_dec31_cr_in),
always @* begin
if (\initial ) begin end
DIV_rc_sel = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1f:
DIV_rc_sel = DIV_dec31_DIV_dec31_rc_sel;
endcase
always @* begin
if (\initial ) begin end
DIV_cry_in = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1f:
DIV_cry_in = DIV_dec31_DIV_dec31_cry_in;
endcase
always @* begin
if (\initial ) begin end
DIV_inv_a = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1f:
DIV_inv_a = DIV_dec31_DIV_dec31_inv_a;
endcase
always @* begin
if (\initial ) begin end
DIV_inv_out = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1f:
DIV_inv_out = DIV_dec31_DIV_dec31_inv_out;
endcase
always @* begin
if (\initial ) begin end
DIV_cry_out = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1f:
DIV_cry_out = DIV_dec31_DIV_dec31_cry_out;
endcase
always @* begin
if (\initial ) begin end
DIV_is_32b = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1f:
DIV_is_32b = DIV_dec31_DIV_dec31_is_32b;
endcase
always @* begin
if (\initial ) begin end
DIV_sgn = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1f:
DIV_sgn = DIV_dec31_DIV_dec31_sgn;
endcase
always @* begin
if (\initial ) begin end
DIV_function_unit = 15'h0000;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1f:
DIV_function_unit = DIV_dec31_DIV_dec31_function_unit;
endcase
always @* begin
if (\initial ) begin end
DIV_internal_op = 7'h00;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1f:
DIV_internal_op = DIV_dec31_DIV_dec31_internal_op;
endcase
always @* begin
if (\initial ) begin end
DIV_SV_Ptype = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1f:
DIV_SV_Ptype = DIV_dec31_DIV_dec31_SV_Ptype;
endcase
always @* begin
if (\initial ) begin end
DIV_in1_sel = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1f:
DIV_in1_sel = DIV_dec31_DIV_dec31_in1_sel;
endcase
always @* begin
if (\initial ) begin end
DIV_in2_sel = 4'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1f:
DIV_in2_sel = DIV_dec31_DIV_dec31_in2_sel;
endcase
always @* begin
if (\initial ) begin end
DIV_cr_in = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1f:
DIV_cr_in = DIV_dec31_DIV_dec31_cr_in;
endcase
always @* begin
if (\initial ) begin end
DIV_cr_out = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1f:
DIV_cr_out = DIV_dec31_DIV_dec31_cr_out;
endcase
always @* begin
if (\initial ) begin end
DIV_ldst_len = 4'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1f:
DIV_ldst_len = DIV_dec31_DIV_dec31_ldst_len;
endcase
(* generator = "nMigen" *)
module \dec$158 (bigendian, opcode_in, MUL_rc_sel, MUL_internal_op, MUL_SPR, MUL_function_unit, MUL_in2_sel, MUL_cr_out, MUL_is_32b, MUL_sgn, MUL_SI, MUL_UI, MUL_SH32, MUL_sh, MUL_LI, MUL_Rc, MUL_OE, MUL_BD, MUL_DS, raw_opcode_in);
reg \initial = 0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:519" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:521" *)
wire [31:0] \$1 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] A_BC;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] A_FRA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] A_FRB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] A_FRC;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] A_FRT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] A_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] A_RB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] A_RT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire A_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] A_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire B_AA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [13:0] B_BD;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] B_BI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] B_BO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire B_LK;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DQE_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DQE_RT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] DQE_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [11:0] DQ_DQ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [3:0] DQ_PT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DQ_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DQ_RTp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DQ_S;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire DQ_SX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] DQ_SX_S;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DQ_T;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire DQ_TX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] DQ_TX_T;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] DQ_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [13:0] DS_DS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DS_FRSp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DS_FRTp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DS_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DS_RS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DS_RSp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DS_RT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DS_VRS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DS_VRT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] DS_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DX_RT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DX_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [9:0] DX_d0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [15:0] DX_d0_d1_d2;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DX_d1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire DX_d2;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] D_BF;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [15:0] D_D;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] D_FRS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] D_FRT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire D_L;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] D_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] D_RS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] D_RT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [15:0] D_SI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] D_TO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [15:0] D_UI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] EVS_BFA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire I_AA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [23:0] I_LI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire I_LK;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] MDS_IB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] MDS_IS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] MDS_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] MDS_RB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] MDS_RS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire MDS_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [3:0] MDS_XBI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [3:0] MDS_XBI_1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [3:0] MDS_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] MDS_mb;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] MDS_me;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] MD_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] MD_RS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire MD_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] MD_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] MD_mb;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] MD_me;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] MD_sh;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire MUL_AA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] MUL_BA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] MUL_BB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] MUL_BC;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
output [13:0] MUL_BD;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [2:0] MUL_BF;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [1:0] MUL_BH;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] MUL_BI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] MUL_BO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] MUL_BT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [9:0] MUL_CR;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [15:0] MUL_D;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
output [13:0] MUL_DS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] MUL_FRA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] MUL_FRB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] MUL_FRC;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] MUL_FRS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] MUL_FRT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [7:0] MUL_FXM;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire MUL_L;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
output [23:0] MUL_LI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire MUL_LK;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] MUL_MB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] MUL_MB32;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] MUL_ME;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] MUL_ME32;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
output MUL_OE;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [5:0] MUL_PO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] MUL_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] MUL_RB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] MUL_RS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] MUL_RT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
output MUL_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] MUL_SH;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
output [4:0] MUL_SH32;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
output [15:0] MUL_SI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
output [9:0] MUL_SPR;
(* enum_base_type = "SVPtype" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "P1" *)
(* enum_value_10 = "P2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
reg [1:0] MUL_SV_Ptype;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] MUL_TO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
output [15:0] MUL_UI;
(* enum_base_type = "CRInSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_101 = "BC" *)
(* enum_value_110 = "WHOLE_REG" *)
(* enum_value_111 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
reg [2:0] MUL_cr_in;
(* enum_base_type = "CROutSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] MUL_cr_out;
reg [2:0] MUL_cr_out;
(* enum_base_type = "SVPtype" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "P1" *)
(* enum_value_10 = "P2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] MUL_dec31_MUL_dec31_SV_Ptype;
(* enum_base_type = "CRInSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_101 = "BC" *)
(* enum_value_110 = "WHOLE_REG" *)
(* enum_value_111 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] MUL_dec31_MUL_dec31_cr_in;
(* enum_base_type = "CROutSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] MUL_dec31_MUL_dec31_cr_out;
(* enum_base_type = "Function" *)
(* enum_value_000000000000000 = "NONE" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [14:0] MUL_dec31_MUL_dec31_function_unit;
(* enum_base_type = "In2Sel" *)
(* enum_value_0000 = "NONE" *)
(* enum_value_1100 = "SPR" *)
(* enum_value_1101 = "RS" *)
(* enum_value_1110 = "FRB" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [3:0] MUL_dec31_MUL_dec31_in2_sel;
(* enum_base_type = "MicrOp" *)
(* enum_value_0000000 = "OP_ILLEGAL" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [6:0] MUL_dec31_MUL_dec31_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire MUL_dec31_MUL_dec31_is_32b;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] MUL_dec31_MUL_dec31_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire MUL_dec31_MUL_dec31_sgn;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
wire [31:0] MUL_dec31_opcode_in;
(* enum_base_type = "Function" *)
(* enum_value_000000000000000 = "NONE" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [14:0] MUL_function_unit;
reg [14:0] MUL_function_unit;
(* enum_base_type = "In2Sel" *)
(* enum_value_1100 = "SPR" *)
(* enum_value_1101 = "RS" *)
(* enum_value_1110 = "FRB" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [3:0] MUL_in2_sel;
reg [3:0] MUL_in2_sel;
(* enum_base_type = "MicrOp" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [6:0] MUL_internal_op;
reg [6:0] MUL_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output MUL_is_32b;
reg MUL_is_32b;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] MUL_rc_sel;
reg [1:0] MUL_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output MUL_sgn;
reg MUL_sgn;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
output [5:0] MUL_sh;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] M_MB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] M_ME;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] M_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] M_RB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] M_RS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire M_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] M_SH;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [6:0] SC_LEV;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire SC_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] SC_XO_1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] SVL_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] SVL_RT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire SVL_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [7:0] SVL_SVi;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] SVL_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire SVL_ms;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire SVL_vs;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] TX_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] TX_UI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [3:0] TX_XBI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] TX_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VA_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VA_RB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VA_RC;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VA_RT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [3:0] VA_SHB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VA_VRA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VA_VRB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VA_VRC;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VA_VRT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] VA_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire VC_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VC_VRA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VC_VRB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VC_VRT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [9:0] VC_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VX_EO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire VX_PS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VX_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VX_RT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VX_SIM;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VX_UIM;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [3:0] VX_UIM_1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] VX_UIM_2;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] VX_UIM_3;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VX_VRA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VX_VRB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VX_VRT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [9:0] VX_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [10:0] VX_XO_1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [7:0] XFL_FLM;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XFL_FRB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XFL_L;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XFL_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XFL_W;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [9:0] XFL_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [9:0] XFX_BHRBE;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XFX_DUI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [9:0] XFX_DUIS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [7:0] XFX_FXM;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XFX_RS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XFX_RT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [9:0] XFX_SPR;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [9:0] XFX_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XL_BA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XL_BB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] XL_BF;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] XL_BFA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] XL_BH;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XL_BI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XL_BO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XL_BO_1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XL_BT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XL_LK;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [14:0] XL_OC;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XL_S;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [9:0] XL_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XO_OE;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XO_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XO_RB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XO_RT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XO_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [8:0] XO_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XS_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XS_RS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XS_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [8:0] XS_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] XS_sh;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XX2_B;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] XX2_BF;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XX2_BX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] XX2_BX_B;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [6:0] XX2_DCMX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XX2_EO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XX2_RT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XX2_T;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XX2_TX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] XX2_TX_T;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [3:0] XX2_UIM;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] XX2_UIM_1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [6:0] XX2_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [8:0] XX2_XO_1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XX2_dc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [6:0] XX2_dc_dm_dx;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XX2_dm;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XX2_dx;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XX3_A;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XX3_AX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] XX3_AX_A;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XX3_B;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] XX3_BF;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XX3_BX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] XX3_BX_B;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] XX3_DM;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XX3_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] XX3_SHW;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XX3_T;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XX3_TX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] XX3_TX_T;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [3:0] XX3_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [7:0] XX3_XO_1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [8:0] XX3_XO_2;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XX4_A;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XX4_AX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] XX4_AX_A;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XX4_B;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XX4_BX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] XX4_BX_B;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XX4_C;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XX4_CX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] XX4_CX_C;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XX4_T;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XX4_TX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] XX4_TX_T;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] XX4_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire X_A;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] X_BF;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] X_BFA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_BO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [3:0] X_CT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [6:0] X_DCMX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] X_DRM;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire X_E;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] X_EO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_EO_1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire X_EX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [3:0] X_E_1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_FC;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_FRA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_FRAp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_FRB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_FRBp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_FRS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_FRSp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_FRT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_FRTp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] X_IH;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [7:0] X_IMM8;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire X_L;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire X_L1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] X_L2;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] X_L3;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_MO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_NB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire X_PRS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire X_R;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_RB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] X_RIC;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] X_RM;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire X_RO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_RS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_RSp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_RT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_RTp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire X_R_1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire X_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_S;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_SH;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_SI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] X_SP;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [3:0] X_SR;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire X_SX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] X_SX_S;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_T;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [9:0] X_TBR;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_TH;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_TO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire X_TX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] X_TX_T;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [3:0] X_U;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_UIM;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_VRS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_VRT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire X_W;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] X_WC;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [9:0] X_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [7:0] X_XO_1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] Z22_BF;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] Z22_DCM;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] Z22_DGM;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] Z22_FRA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] Z22_FRAp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] Z22_FRT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] Z22_FRTp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire Z22_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] Z22_SH;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [8:0] Z22_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] Z23_FRA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] Z23_FRAp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] Z23_FRB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] Z23_FRBp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] Z23_FRT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] Z23_FRTp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire Z23_R;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] Z23_RMC;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire Z23_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] Z23_TE;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [7:0] Z23_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] all_PO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:479" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:481" *)
input bigendian;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
output [31:0] opcode_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
wire [5:0] opcode_switch;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:478" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:480" *)
input [31:0] raw_opcode_in;
- assign \$1 = bigendian ? (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:519" *) { raw_opcode_in[7:0], raw_opcode_in[15:8], raw_opcode_in[23:16], raw_opcode_in[31:24] } : raw_opcode_in;
+ assign \$1 = bigendian ? (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:521" *) { raw_opcode_in[7:0], raw_opcode_in[15:8], raw_opcode_in[23:16], raw_opcode_in[31:24] } : raw_opcode_in;
MUL_dec31 MUL_dec31 (
.MUL_dec31_SV_Ptype(MUL_dec31_MUL_dec31_SV_Ptype),
.MUL_dec31_cr_in(MUL_dec31_MUL_dec31_cr_in),
always @* begin
if (\initial ) begin end
MUL_sgn = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1f:
MUL_sgn = MUL_dec31_MUL_dec31_sgn;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h07:
MUL_sgn = 1'h1;
endcase
always @* begin
if (\initial ) begin end
MUL_function_unit = 15'h0000;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1f:
MUL_function_unit = MUL_dec31_MUL_dec31_function_unit;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h07:
MUL_function_unit = 15'h0100;
endcase
always @* begin
if (\initial ) begin end
MUL_internal_op = 7'h00;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1f:
MUL_internal_op = MUL_dec31_MUL_dec31_internal_op;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h07:
MUL_internal_op = 7'h32;
endcase
always @* begin
if (\initial ) begin end
MUL_SV_Ptype = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1f:
MUL_SV_Ptype = MUL_dec31_MUL_dec31_SV_Ptype;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h07:
MUL_SV_Ptype = 2'h2;
endcase
always @* begin
if (\initial ) begin end
MUL_in2_sel = 4'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1f:
MUL_in2_sel = MUL_dec31_MUL_dec31_in2_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h07:
MUL_in2_sel = 4'h3;
endcase
always @* begin
if (\initial ) begin end
MUL_cr_in = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1f:
MUL_cr_in = MUL_dec31_MUL_dec31_cr_in;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h07:
MUL_cr_in = 3'h0;
endcase
always @* begin
if (\initial ) begin end
MUL_cr_out = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1f:
MUL_cr_out = MUL_dec31_MUL_dec31_cr_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h07:
MUL_cr_out = 3'h1;
endcase
always @* begin
if (\initial ) begin end
MUL_rc_sel = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1f:
MUL_rc_sel = MUL_dec31_MUL_dec31_rc_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h07:
MUL_rc_sel = 2'h0;
endcase
always @* begin
if (\initial ) begin end
MUL_is_32b = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1f:
MUL_is_32b = MUL_dec31_MUL_dec31_is_32b;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h07:
MUL_is_32b = 1'h0;
endcase
(* generator = "nMigen" *)
module \dec$162 (bigendian, opcode_in, SHIFT_ROT_rc_sel, SHIFT_ROT_internal_op, SHIFT_ROT_SPR, SHIFT_ROT_function_unit, SHIFT_ROT_in2_sel, SHIFT_ROT_cr_out, SHIFT_ROT_cr_in, SHIFT_ROT_inv_a, SHIFT_ROT_cry_in, SHIFT_ROT_cry_out, SHIFT_ROT_is_32b, SHIFT_ROT_sgn, SHIFT_ROT_SI, SHIFT_ROT_UI, SHIFT_ROT_SH32, SHIFT_ROT_sh, SHIFT_ROT_LI, SHIFT_ROT_Rc, SHIFT_ROT_OE, SHIFT_ROT_BD, SHIFT_ROT_DS, raw_opcode_in);
reg \initial = 0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:519" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:521" *)
wire [31:0] \$1 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] A_BC;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] A_FRA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] A_FRB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] A_FRC;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] A_FRT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] A_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] A_RB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] A_RT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire A_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] A_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire B_AA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [13:0] B_BD;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] B_BI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] B_BO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire B_LK;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DQE_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DQE_RT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] DQE_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [11:0] DQ_DQ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [3:0] DQ_PT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DQ_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DQ_RTp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DQ_S;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire DQ_SX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] DQ_SX_S;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DQ_T;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire DQ_TX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] DQ_TX_T;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] DQ_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [13:0] DS_DS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DS_FRSp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DS_FRTp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DS_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DS_RS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DS_RSp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DS_RT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DS_VRS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DS_VRT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] DS_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DX_RT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DX_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [9:0] DX_d0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [15:0] DX_d0_d1_d2;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DX_d1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire DX_d2;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] D_BF;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [15:0] D_D;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] D_FRS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] D_FRT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire D_L;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] D_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] D_RS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] D_RT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [15:0] D_SI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] D_TO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [15:0] D_UI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] EVS_BFA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire I_AA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [23:0] I_LI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire I_LK;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] MDS_IB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] MDS_IS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] MDS_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] MDS_RB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] MDS_RS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire MDS_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [3:0] MDS_XBI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [3:0] MDS_XBI_1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [3:0] MDS_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] MDS_mb;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] MDS_me;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] MD_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] MD_RS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire MD_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] MD_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] MD_mb;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] MD_me;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] MD_sh;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] M_MB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] M_ME;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] M_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] M_RB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] M_RS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire M_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] M_SH;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [6:0] SC_LEV;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire SC_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] SC_XO_1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire SHIFT_ROT_AA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] SHIFT_ROT_BA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] SHIFT_ROT_BB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] SHIFT_ROT_BC;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
output [13:0] SHIFT_ROT_BD;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [2:0] SHIFT_ROT_BF;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [1:0] SHIFT_ROT_BH;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] SHIFT_ROT_BI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] SHIFT_ROT_BO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] SHIFT_ROT_BT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [9:0] SHIFT_ROT_CR;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [15:0] SHIFT_ROT_D;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
output [13:0] SHIFT_ROT_DS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] SHIFT_ROT_FRA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] SHIFT_ROT_FRB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] SHIFT_ROT_FRC;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] SHIFT_ROT_FRS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] SHIFT_ROT_FRT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [7:0] SHIFT_ROT_FXM;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire SHIFT_ROT_L;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
output [23:0] SHIFT_ROT_LI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire SHIFT_ROT_LK;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] SHIFT_ROT_MB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] SHIFT_ROT_MB32;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] SHIFT_ROT_ME;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] SHIFT_ROT_ME32;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
output SHIFT_ROT_OE;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [5:0] SHIFT_ROT_PO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] SHIFT_ROT_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] SHIFT_ROT_RB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] SHIFT_ROT_RS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] SHIFT_ROT_RT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
output SHIFT_ROT_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] SHIFT_ROT_SH;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
output [4:0] SHIFT_ROT_SH32;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
output [15:0] SHIFT_ROT_SI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
output [9:0] SHIFT_ROT_SPR;
(* enum_base_type = "SVPtype" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "P1" *)
(* enum_value_10 = "P2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
reg [1:0] SHIFT_ROT_SV_Ptype;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] SHIFT_ROT_TO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
output [15:0] SHIFT_ROT_UI;
(* enum_base_type = "CRInSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_101 = "BC" *)
(* enum_value_110 = "WHOLE_REG" *)
(* enum_value_111 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] SHIFT_ROT_cr_in;
reg [2:0] SHIFT_ROT_cr_in;
(* enum_base_type = "CROutSel" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] SHIFT_ROT_cr_out;
reg [2:0] SHIFT_ROT_cr_out;
(* enum_base_type = "CryIn" *)
(* enum_value_00 = "ZERO" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "CA" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] SHIFT_ROT_cry_in;
reg [1:0] SHIFT_ROT_cry_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output SHIFT_ROT_cry_out;
reg SHIFT_ROT_cry_out;
(* enum_base_type = "SVPtype" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "P1" *)
(* enum_value_10 = "P2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] SHIFT_ROT_dec30_SHIFT_ROT_dec30_SV_Ptype;
(* enum_base_type = "CRInSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_101 = "BC" *)
(* enum_value_110 = "WHOLE_REG" *)
(* enum_value_111 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] SHIFT_ROT_dec30_SHIFT_ROT_dec30_cr_in;
(* enum_base_type = "CROutSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] SHIFT_ROT_dec30_SHIFT_ROT_dec30_cr_out;
(* enum_base_type = "CryIn" *)
(* enum_value_00 = "ZERO" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "CA" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] SHIFT_ROT_dec30_SHIFT_ROT_dec30_cry_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire SHIFT_ROT_dec30_SHIFT_ROT_dec30_cry_out;
(* enum_base_type = "Function" *)
(* enum_value_000000000000000 = "NONE" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [14:0] SHIFT_ROT_dec30_SHIFT_ROT_dec30_function_unit;
(* enum_base_type = "In2Sel" *)
(* enum_value_0000 = "NONE" *)
(* enum_value_1100 = "SPR" *)
(* enum_value_1101 = "RS" *)
(* enum_value_1110 = "FRB" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [3:0] SHIFT_ROT_dec30_SHIFT_ROT_dec30_in2_sel;
(* enum_base_type = "MicrOp" *)
(* enum_value_0000000 = "OP_ILLEGAL" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [6:0] SHIFT_ROT_dec30_SHIFT_ROT_dec30_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire SHIFT_ROT_dec30_SHIFT_ROT_dec30_inv_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire SHIFT_ROT_dec30_SHIFT_ROT_dec30_is_32b;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] SHIFT_ROT_dec30_SHIFT_ROT_dec30_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire SHIFT_ROT_dec30_SHIFT_ROT_dec30_sgn;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
wire [31:0] SHIFT_ROT_dec30_opcode_in;
(* enum_base_type = "SVPtype" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "P1" *)
(* enum_value_10 = "P2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] SHIFT_ROT_dec31_SHIFT_ROT_dec31_SV_Ptype;
(* enum_base_type = "CRInSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_101 = "BC" *)
(* enum_value_110 = "WHOLE_REG" *)
(* enum_value_111 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] SHIFT_ROT_dec31_SHIFT_ROT_dec31_cr_in;
(* enum_base_type = "CROutSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] SHIFT_ROT_dec31_SHIFT_ROT_dec31_cr_out;
(* enum_base_type = "CryIn" *)
(* enum_value_00 = "ZERO" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "CA" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] SHIFT_ROT_dec31_SHIFT_ROT_dec31_cry_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire SHIFT_ROT_dec31_SHIFT_ROT_dec31_cry_out;
(* enum_base_type = "Function" *)
(* enum_value_000000000000000 = "NONE" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [14:0] SHIFT_ROT_dec31_SHIFT_ROT_dec31_function_unit;
(* enum_base_type = "In2Sel" *)
(* enum_value_0000 = "NONE" *)
(* enum_value_1100 = "SPR" *)
(* enum_value_1101 = "RS" *)
(* enum_value_1110 = "FRB" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [3:0] SHIFT_ROT_dec31_SHIFT_ROT_dec31_in2_sel;
(* enum_base_type = "MicrOp" *)
(* enum_value_0000000 = "OP_ILLEGAL" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [6:0] SHIFT_ROT_dec31_SHIFT_ROT_dec31_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire SHIFT_ROT_dec31_SHIFT_ROT_dec31_inv_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire SHIFT_ROT_dec31_SHIFT_ROT_dec31_is_32b;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] SHIFT_ROT_dec31_SHIFT_ROT_dec31_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire SHIFT_ROT_dec31_SHIFT_ROT_dec31_sgn;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
wire [31:0] SHIFT_ROT_dec31_opcode_in;
(* enum_base_type = "Function" *)
(* enum_value_000000000000000 = "NONE" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [14:0] SHIFT_ROT_function_unit;
reg [14:0] SHIFT_ROT_function_unit;
(* enum_base_type = "In2Sel" *)
(* enum_value_1100 = "SPR" *)
(* enum_value_1101 = "RS" *)
(* enum_value_1110 = "FRB" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [3:0] SHIFT_ROT_in2_sel;
reg [3:0] SHIFT_ROT_in2_sel;
(* enum_base_type = "MicrOp" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [6:0] SHIFT_ROT_internal_op;
reg [6:0] SHIFT_ROT_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output SHIFT_ROT_inv_a;
reg SHIFT_ROT_inv_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output SHIFT_ROT_is_32b;
reg SHIFT_ROT_is_32b;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] SHIFT_ROT_rc_sel;
reg [1:0] SHIFT_ROT_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output SHIFT_ROT_sgn;
reg SHIFT_ROT_sgn;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
output [5:0] SHIFT_ROT_sh;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] SVL_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] SVL_RT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire SVL_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [7:0] SVL_SVi;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] SVL_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire SVL_ms;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire SVL_vs;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] TX_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] TX_UI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [3:0] TX_XBI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] TX_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VA_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VA_RB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VA_RC;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VA_RT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [3:0] VA_SHB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VA_VRA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VA_VRB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VA_VRC;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VA_VRT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] VA_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire VC_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VC_VRA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VC_VRB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VC_VRT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [9:0] VC_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VX_EO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire VX_PS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VX_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VX_RT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VX_SIM;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VX_UIM;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [3:0] VX_UIM_1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] VX_UIM_2;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] VX_UIM_3;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VX_VRA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VX_VRB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VX_VRT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [9:0] VX_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [10:0] VX_XO_1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [7:0] XFL_FLM;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XFL_FRB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XFL_L;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XFL_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XFL_W;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [9:0] XFL_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [9:0] XFX_BHRBE;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XFX_DUI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [9:0] XFX_DUIS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [7:0] XFX_FXM;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XFX_RS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XFX_RT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [9:0] XFX_SPR;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [9:0] XFX_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XL_BA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XL_BB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] XL_BF;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] XL_BFA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] XL_BH;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XL_BI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XL_BO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XL_BO_1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XL_BT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XL_LK;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [14:0] XL_OC;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XL_S;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [9:0] XL_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XO_OE;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XO_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XO_RB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XO_RT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XO_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [8:0] XO_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XS_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XS_RS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XS_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [8:0] XS_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] XS_sh;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XX2_B;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] XX2_BF;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XX2_BX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] XX2_BX_B;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [6:0] XX2_DCMX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XX2_EO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XX2_RT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XX2_T;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XX2_TX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] XX2_TX_T;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [3:0] XX2_UIM;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] XX2_UIM_1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [6:0] XX2_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [8:0] XX2_XO_1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XX2_dc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [6:0] XX2_dc_dm_dx;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XX2_dm;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XX2_dx;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XX3_A;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XX3_AX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] XX3_AX_A;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XX3_B;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] XX3_BF;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XX3_BX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] XX3_BX_B;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] XX3_DM;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XX3_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] XX3_SHW;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XX3_T;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XX3_TX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] XX3_TX_T;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [3:0] XX3_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [7:0] XX3_XO_1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [8:0] XX3_XO_2;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XX4_A;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XX4_AX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] XX4_AX_A;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XX4_B;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XX4_BX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] XX4_BX_B;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XX4_C;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XX4_CX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] XX4_CX_C;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XX4_T;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XX4_TX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] XX4_TX_T;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] XX4_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire X_A;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] X_BF;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] X_BFA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_BO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [3:0] X_CT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [6:0] X_DCMX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] X_DRM;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire X_E;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] X_EO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_EO_1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire X_EX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [3:0] X_E_1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_FC;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_FRA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_FRAp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_FRB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_FRBp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_FRS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_FRSp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_FRT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_FRTp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] X_IH;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [7:0] X_IMM8;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire X_L;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire X_L1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] X_L2;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] X_L3;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_MO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_NB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire X_PRS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire X_R;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_RB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] X_RIC;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] X_RM;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire X_RO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_RS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_RSp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_RT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_RTp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire X_R_1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire X_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_S;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_SH;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_SI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] X_SP;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [3:0] X_SR;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire X_SX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] X_SX_S;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_T;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [9:0] X_TBR;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_TH;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_TO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire X_TX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] X_TX_T;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [3:0] X_U;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_UIM;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_VRS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_VRT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire X_W;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] X_WC;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [9:0] X_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [7:0] X_XO_1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] Z22_BF;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] Z22_DCM;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] Z22_DGM;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] Z22_FRA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] Z22_FRAp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] Z22_FRT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] Z22_FRTp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire Z22_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] Z22_SH;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [8:0] Z22_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] Z23_FRA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] Z23_FRAp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] Z23_FRB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] Z23_FRBp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] Z23_FRT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] Z23_FRTp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire Z23_R;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] Z23_RMC;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire Z23_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] Z23_TE;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [7:0] Z23_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] all_PO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:479" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:481" *)
input bigendian;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
output [31:0] opcode_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
wire [5:0] opcode_switch;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:478" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:480" *)
input [31:0] raw_opcode_in;
- assign \$1 = bigendian ? (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:519" *) { raw_opcode_in[7:0], raw_opcode_in[15:8], raw_opcode_in[23:16], raw_opcode_in[31:24] } : raw_opcode_in;
+ assign \$1 = bigendian ? (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:521" *) { raw_opcode_in[7:0], raw_opcode_in[15:8], raw_opcode_in[23:16], raw_opcode_in[31:24] } : raw_opcode_in;
SHIFT_ROT_dec30 SHIFT_ROT_dec30 (
.SHIFT_ROT_dec30_SV_Ptype(SHIFT_ROT_dec30_SHIFT_ROT_dec30_SV_Ptype),
.SHIFT_ROT_dec30_cr_in(SHIFT_ROT_dec30_SHIFT_ROT_dec30_cr_in),
always @* begin
if (\initial ) begin end
SHIFT_ROT_cry_in = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1e:
SHIFT_ROT_cry_in = SHIFT_ROT_dec30_SHIFT_ROT_dec30_cry_in;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1f:
SHIFT_ROT_cry_in = SHIFT_ROT_dec31_SHIFT_ROT_dec31_cry_in;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h14:
SHIFT_ROT_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h15:
SHIFT_ROT_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h17:
SHIFT_ROT_cry_in = 2'h0;
endcase
always @* begin
if (\initial ) begin end
SHIFT_ROT_inv_a = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1e:
SHIFT_ROT_inv_a = SHIFT_ROT_dec30_SHIFT_ROT_dec30_inv_a;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1f:
SHIFT_ROT_inv_a = SHIFT_ROT_dec31_SHIFT_ROT_dec31_inv_a;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h14:
SHIFT_ROT_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h15:
SHIFT_ROT_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h17:
SHIFT_ROT_inv_a = 1'h0;
endcase
always @* begin
if (\initial ) begin end
SHIFT_ROT_cry_out = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1e:
SHIFT_ROT_cry_out = SHIFT_ROT_dec30_SHIFT_ROT_dec30_cry_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1f:
SHIFT_ROT_cry_out = SHIFT_ROT_dec31_SHIFT_ROT_dec31_cry_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h14:
SHIFT_ROT_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h15:
SHIFT_ROT_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h17:
SHIFT_ROT_cry_out = 1'h0;
endcase
always @* begin
if (\initial ) begin end
SHIFT_ROT_is_32b = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1e:
SHIFT_ROT_is_32b = SHIFT_ROT_dec30_SHIFT_ROT_dec30_is_32b;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1f:
SHIFT_ROT_is_32b = SHIFT_ROT_dec31_SHIFT_ROT_dec31_is_32b;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h14:
SHIFT_ROT_is_32b = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h15:
SHIFT_ROT_is_32b = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h17:
SHIFT_ROT_is_32b = 1'h1;
endcase
always @* begin
if (\initial ) begin end
SHIFT_ROT_sgn = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1e:
SHIFT_ROT_sgn = SHIFT_ROT_dec30_SHIFT_ROT_dec30_sgn;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1f:
SHIFT_ROT_sgn = SHIFT_ROT_dec31_SHIFT_ROT_dec31_sgn;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h14:
SHIFT_ROT_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h15:
SHIFT_ROT_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h17:
SHIFT_ROT_sgn = 1'h0;
endcase
always @* begin
if (\initial ) begin end
SHIFT_ROT_function_unit = 15'h0000;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1e:
SHIFT_ROT_function_unit = SHIFT_ROT_dec30_SHIFT_ROT_dec30_function_unit;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1f:
SHIFT_ROT_function_unit = SHIFT_ROT_dec31_SHIFT_ROT_dec31_function_unit;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h14:
SHIFT_ROT_function_unit = 15'h0008;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h15:
SHIFT_ROT_function_unit = 15'h0008;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h17:
SHIFT_ROT_function_unit = 15'h0008;
endcase
always @* begin
if (\initial ) begin end
SHIFT_ROT_internal_op = 7'h00;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1e:
SHIFT_ROT_internal_op = SHIFT_ROT_dec30_SHIFT_ROT_dec30_internal_op;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1f:
SHIFT_ROT_internal_op = SHIFT_ROT_dec31_SHIFT_ROT_dec31_internal_op;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h14:
SHIFT_ROT_internal_op = 7'h38;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h15:
SHIFT_ROT_internal_op = 7'h38;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h17:
SHIFT_ROT_internal_op = 7'h38;
endcase
always @* begin
if (\initial ) begin end
SHIFT_ROT_SV_Ptype = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1e:
SHIFT_ROT_SV_Ptype = SHIFT_ROT_dec30_SHIFT_ROT_dec30_SV_Ptype;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1f:
SHIFT_ROT_SV_Ptype = SHIFT_ROT_dec31_SHIFT_ROT_dec31_SV_Ptype;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h14:
SHIFT_ROT_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h15:
SHIFT_ROT_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h17:
SHIFT_ROT_SV_Ptype = 2'h1;
endcase
always @* begin
if (\initial ) begin end
SHIFT_ROT_in2_sel = 4'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1e:
SHIFT_ROT_in2_sel = SHIFT_ROT_dec30_SHIFT_ROT_dec30_in2_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1f:
SHIFT_ROT_in2_sel = SHIFT_ROT_dec31_SHIFT_ROT_dec31_in2_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h14:
SHIFT_ROT_in2_sel = 4'hb;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h15:
SHIFT_ROT_in2_sel = 4'hb;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h17:
SHIFT_ROT_in2_sel = 4'h1;
endcase
always @* begin
if (\initial ) begin end
SHIFT_ROT_cr_in = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1e:
SHIFT_ROT_cr_in = SHIFT_ROT_dec30_SHIFT_ROT_dec30_cr_in;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1f:
SHIFT_ROT_cr_in = SHIFT_ROT_dec31_SHIFT_ROT_dec31_cr_in;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h14:
SHIFT_ROT_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h15:
SHIFT_ROT_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h17:
SHIFT_ROT_cr_in = 3'h0;
endcase
always @* begin
if (\initial ) begin end
SHIFT_ROT_cr_out = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1e:
SHIFT_ROT_cr_out = SHIFT_ROT_dec30_SHIFT_ROT_dec30_cr_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1f:
SHIFT_ROT_cr_out = SHIFT_ROT_dec31_SHIFT_ROT_dec31_cr_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h14:
SHIFT_ROT_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h15:
SHIFT_ROT_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h17:
SHIFT_ROT_cr_out = 3'h1;
endcase
always @* begin
if (\initial ) begin end
SHIFT_ROT_rc_sel = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1e:
SHIFT_ROT_rc_sel = SHIFT_ROT_dec30_SHIFT_ROT_dec30_rc_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1f:
SHIFT_ROT_rc_sel = SHIFT_ROT_dec31_SHIFT_ROT_dec31_rc_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h14:
SHIFT_ROT_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h15:
SHIFT_ROT_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h17:
SHIFT_ROT_rc_sel = 2'h2;
endcase
(* generator = "nMigen" *)
module \dec$166 (bigendian, opcode_in, LDST_rc_sel, LDST_internal_op, LDST_SPR, LDST_function_unit, LDST_in1_sel, LDST_in2_sel, LDST_cr_out, LDST_ldst_len, LDST_is_32b, LDST_sgn, LDST_br, LDST_sgn_ext, LDST_upd, LDST_RA, LDST_SI, LDST_UI, LDST_SH32, LDST_sh, LDST_LI, LDST_Rc, LDST_OE, LDST_BD, LDST_DS, raw_opcode_in);
reg \initial = 0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:519" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:521" *)
wire [31:0] \$1 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] A_BC;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] A_FRA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] A_FRB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] A_FRC;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] A_FRT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] A_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] A_RB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] A_RT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire A_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] A_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire B_AA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [13:0] B_BD;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] B_BI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] B_BO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire B_LK;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DQE_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DQE_RT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] DQE_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [11:0] DQ_DQ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [3:0] DQ_PT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DQ_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DQ_RTp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DQ_S;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire DQ_SX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] DQ_SX_S;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DQ_T;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire DQ_TX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] DQ_TX_T;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] DQ_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [13:0] DS_DS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DS_FRSp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DS_FRTp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DS_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DS_RS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DS_RSp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DS_RT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DS_VRS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DS_VRT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] DS_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DX_RT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DX_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [9:0] DX_d0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [15:0] DX_d0_d1_d2;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DX_d1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire DX_d2;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] D_BF;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [15:0] D_D;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] D_FRS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] D_FRT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire D_L;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] D_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] D_RS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] D_RT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [15:0] D_SI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] D_TO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [15:0] D_UI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] EVS_BFA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire I_AA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [23:0] I_LI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire I_LK;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire LDST_AA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] LDST_BA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] LDST_BB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] LDST_BC;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
output [13:0] LDST_BD;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [2:0] LDST_BF;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [1:0] LDST_BH;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] LDST_BI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] LDST_BO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] LDST_BT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [9:0] LDST_CR;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [15:0] LDST_D;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
output [13:0] LDST_DS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] LDST_FRA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] LDST_FRB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] LDST_FRC;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] LDST_FRS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] LDST_FRT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [7:0] LDST_FXM;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire LDST_L;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
output [23:0] LDST_LI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire LDST_LK;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] LDST_MB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] LDST_MB32;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] LDST_ME;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] LDST_ME32;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
output LDST_OE;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [5:0] LDST_PO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
output [4:0] LDST_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] LDST_RB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] LDST_RS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] LDST_RT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
output LDST_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] LDST_SH;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
output [4:0] LDST_SH32;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
output [15:0] LDST_SI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
output [9:0] LDST_SPR;
(* enum_base_type = "SVPtype" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "P1" *)
(* enum_value_10 = "P2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
reg [1:0] LDST_SV_Ptype;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] LDST_TO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
output [15:0] LDST_UI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output LDST_br;
reg LDST_br;
(* enum_base_type = "CRInSel" *)
(* enum_value_101 = "BC" *)
(* enum_value_110 = "WHOLE_REG" *)
(* enum_value_111 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
reg [2:0] LDST_cr_in;
(* enum_base_type = "CROutSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] LDST_cr_out;
reg [2:0] LDST_cr_out;
(* enum_base_type = "SVPtype" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "P1" *)
(* enum_value_10 = "P2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] LDST_dec31_LDST_dec31_SV_Ptype;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire LDST_dec31_LDST_dec31_br;
(* enum_base_type = "CRInSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_101 = "BC" *)
(* enum_value_110 = "WHOLE_REG" *)
(* enum_value_111 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] LDST_dec31_LDST_dec31_cr_in;
(* enum_base_type = "CROutSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] LDST_dec31_LDST_dec31_cr_out;
(* enum_base_type = "Function" *)
(* enum_value_000000000000000 = "NONE" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [14:0] LDST_dec31_LDST_dec31_function_unit;
(* enum_base_type = "In1Sel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_100 = "RS" *)
(* enum_value_101 = "FRA" *)
(* enum_value_110 = "FRS" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] LDST_dec31_LDST_dec31_in1_sel;
(* enum_base_type = "In2Sel" *)
(* enum_value_0000 = "NONE" *)
(* enum_value_1100 = "SPR" *)
(* enum_value_1101 = "RS" *)
(* enum_value_1110 = "FRB" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [3:0] LDST_dec31_LDST_dec31_in2_sel;
(* enum_base_type = "MicrOp" *)
(* enum_value_0000000 = "OP_ILLEGAL" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [6:0] LDST_dec31_LDST_dec31_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire LDST_dec31_LDST_dec31_is_32b;
(* enum_base_type = "LdstLen" *)
(* enum_value_0000 = "NONE" *)
(* enum_value_0010 = "is2B" *)
(* enum_value_0100 = "is4B" *)
(* enum_value_1000 = "is8B" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [3:0] LDST_dec31_LDST_dec31_ldst_len;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] LDST_dec31_LDST_dec31_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire LDST_dec31_LDST_dec31_sgn;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire LDST_dec31_LDST_dec31_sgn_ext;
(* enum_base_type = "LDSTMode" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "update" *)
(* enum_value_10 = "cix" *)
(* enum_value_11 = "cx" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] LDST_dec31_LDST_dec31_upd;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
wire [31:0] LDST_dec31_opcode_in;
(* enum_base_type = "SVPtype" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "P1" *)
(* enum_value_10 = "P2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] LDST_dec58_LDST_dec58_SV_Ptype;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire LDST_dec58_LDST_dec58_br;
(* enum_base_type = "CRInSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_101 = "BC" *)
(* enum_value_110 = "WHOLE_REG" *)
(* enum_value_111 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] LDST_dec58_LDST_dec58_cr_in;
(* enum_base_type = "CROutSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] LDST_dec58_LDST_dec58_cr_out;
(* enum_base_type = "Function" *)
(* enum_value_000000000000000 = "NONE" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [14:0] LDST_dec58_LDST_dec58_function_unit;
(* enum_base_type = "In1Sel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_100 = "RS" *)
(* enum_value_101 = "FRA" *)
(* enum_value_110 = "FRS" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] LDST_dec58_LDST_dec58_in1_sel;
(* enum_base_type = "In2Sel" *)
(* enum_value_0000 = "NONE" *)
(* enum_value_1100 = "SPR" *)
(* enum_value_1101 = "RS" *)
(* enum_value_1110 = "FRB" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [3:0] LDST_dec58_LDST_dec58_in2_sel;
(* enum_base_type = "MicrOp" *)
(* enum_value_0000000 = "OP_ILLEGAL" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [6:0] LDST_dec58_LDST_dec58_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire LDST_dec58_LDST_dec58_is_32b;
(* enum_base_type = "LdstLen" *)
(* enum_value_0000 = "NONE" *)
(* enum_value_0010 = "is2B" *)
(* enum_value_0100 = "is4B" *)
(* enum_value_1000 = "is8B" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [3:0] LDST_dec58_LDST_dec58_ldst_len;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] LDST_dec58_LDST_dec58_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire LDST_dec58_LDST_dec58_sgn;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire LDST_dec58_LDST_dec58_sgn_ext;
(* enum_base_type = "LDSTMode" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "update" *)
(* enum_value_10 = "cix" *)
(* enum_value_11 = "cx" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] LDST_dec58_LDST_dec58_upd;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
wire [31:0] LDST_dec58_opcode_in;
(* enum_base_type = "SVPtype" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "P1" *)
(* enum_value_10 = "P2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] LDST_dec62_LDST_dec62_SV_Ptype;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire LDST_dec62_LDST_dec62_br;
(* enum_base_type = "CRInSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_101 = "BC" *)
(* enum_value_110 = "WHOLE_REG" *)
(* enum_value_111 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] LDST_dec62_LDST_dec62_cr_in;
(* enum_base_type = "CROutSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] LDST_dec62_LDST_dec62_cr_out;
(* enum_base_type = "Function" *)
(* enum_value_000000000000000 = "NONE" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [14:0] LDST_dec62_LDST_dec62_function_unit;
(* enum_base_type = "In1Sel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_100 = "RS" *)
(* enum_value_101 = "FRA" *)
(* enum_value_110 = "FRS" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] LDST_dec62_LDST_dec62_in1_sel;
(* enum_base_type = "In2Sel" *)
(* enum_value_0000 = "NONE" *)
(* enum_value_1100 = "SPR" *)
(* enum_value_1101 = "RS" *)
(* enum_value_1110 = "FRB" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [3:0] LDST_dec62_LDST_dec62_in2_sel;
(* enum_base_type = "MicrOp" *)
(* enum_value_0000000 = "OP_ILLEGAL" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [6:0] LDST_dec62_LDST_dec62_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire LDST_dec62_LDST_dec62_is_32b;
(* enum_base_type = "LdstLen" *)
(* enum_value_0000 = "NONE" *)
(* enum_value_0010 = "is2B" *)
(* enum_value_0100 = "is4B" *)
(* enum_value_1000 = "is8B" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [3:0] LDST_dec62_LDST_dec62_ldst_len;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] LDST_dec62_LDST_dec62_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire LDST_dec62_LDST_dec62_sgn;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire LDST_dec62_LDST_dec62_sgn_ext;
(* enum_base_type = "LDSTMode" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "update" *)
(* enum_value_10 = "cix" *)
(* enum_value_11 = "cx" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] LDST_dec62_LDST_dec62_upd;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
wire [31:0] LDST_dec62_opcode_in;
(* enum_base_type = "Function" *)
(* enum_value_000000000000000 = "NONE" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [14:0] LDST_function_unit;
reg [14:0] LDST_function_unit;
(* enum_base_type = "In1Sel" *)
(* enum_value_100 = "RS" *)
(* enum_value_101 = "FRA" *)
(* enum_value_110 = "FRS" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] LDST_in1_sel;
reg [2:0] LDST_in1_sel;
(* enum_base_type = "In2Sel" *)
(* enum_value_1100 = "SPR" *)
(* enum_value_1101 = "RS" *)
(* enum_value_1110 = "FRB" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [3:0] LDST_in2_sel;
reg [3:0] LDST_in2_sel;
(* enum_base_type = "MicrOp" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [6:0] LDST_internal_op;
reg [6:0] LDST_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output LDST_is_32b;
reg LDST_is_32b;
(* enum_base_type = "LdstLen" *)
(* enum_value_0010 = "is2B" *)
(* enum_value_0100 = "is4B" *)
(* enum_value_1000 = "is8B" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [3:0] LDST_ldst_len;
reg [3:0] LDST_ldst_len;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] LDST_rc_sel;
reg [1:0] LDST_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output LDST_sgn;
reg LDST_sgn;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output LDST_sgn_ext;
reg LDST_sgn_ext;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
output [5:0] LDST_sh;
(* enum_base_type = "LDSTMode" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "update" *)
(* enum_value_10 = "cix" *)
(* enum_value_11 = "cx" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] LDST_upd;
reg [1:0] LDST_upd;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] MDS_IB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] MDS_IS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] MDS_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] MDS_RB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] MDS_RS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire MDS_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [3:0] MDS_XBI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [3:0] MDS_XBI_1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [3:0] MDS_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] MDS_mb;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] MDS_me;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] MD_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] MD_RS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire MD_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] MD_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] MD_mb;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] MD_me;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] MD_sh;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] M_MB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] M_ME;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] M_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] M_RB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] M_RS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire M_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] M_SH;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [6:0] SC_LEV;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire SC_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] SC_XO_1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] SVL_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] SVL_RT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire SVL_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [7:0] SVL_SVi;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] SVL_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire SVL_ms;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire SVL_vs;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] TX_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] TX_UI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [3:0] TX_XBI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] TX_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VA_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VA_RB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VA_RC;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VA_RT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [3:0] VA_SHB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VA_VRA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VA_VRB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VA_VRC;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VA_VRT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] VA_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire VC_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VC_VRA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VC_VRB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VC_VRT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [9:0] VC_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VX_EO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire VX_PS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VX_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VX_RT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VX_SIM;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VX_UIM;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [3:0] VX_UIM_1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] VX_UIM_2;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] VX_UIM_3;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VX_VRA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VX_VRB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VX_VRT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [9:0] VX_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [10:0] VX_XO_1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [7:0] XFL_FLM;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XFL_FRB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XFL_L;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XFL_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XFL_W;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [9:0] XFL_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [9:0] XFX_BHRBE;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XFX_DUI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [9:0] XFX_DUIS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [7:0] XFX_FXM;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XFX_RS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XFX_RT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [9:0] XFX_SPR;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [9:0] XFX_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XL_BA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XL_BB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] XL_BF;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] XL_BFA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] XL_BH;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XL_BI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XL_BO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XL_BO_1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XL_BT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XL_LK;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [14:0] XL_OC;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XL_S;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [9:0] XL_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XO_OE;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XO_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XO_RB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XO_RT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XO_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [8:0] XO_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XS_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XS_RS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XS_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [8:0] XS_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] XS_sh;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XX2_B;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] XX2_BF;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XX2_BX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] XX2_BX_B;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [6:0] XX2_DCMX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XX2_EO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XX2_RT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XX2_T;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XX2_TX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] XX2_TX_T;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [3:0] XX2_UIM;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] XX2_UIM_1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [6:0] XX2_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [8:0] XX2_XO_1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XX2_dc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [6:0] XX2_dc_dm_dx;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XX2_dm;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XX2_dx;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XX3_A;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XX3_AX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] XX3_AX_A;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XX3_B;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] XX3_BF;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XX3_BX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] XX3_BX_B;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] XX3_DM;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XX3_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] XX3_SHW;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XX3_T;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XX3_TX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] XX3_TX_T;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [3:0] XX3_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [7:0] XX3_XO_1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [8:0] XX3_XO_2;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XX4_A;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XX4_AX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] XX4_AX_A;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XX4_B;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XX4_BX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] XX4_BX_B;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XX4_C;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XX4_CX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] XX4_CX_C;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XX4_T;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XX4_TX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] XX4_TX_T;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] XX4_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire X_A;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] X_BF;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] X_BFA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_BO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [3:0] X_CT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [6:0] X_DCMX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] X_DRM;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire X_E;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] X_EO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_EO_1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire X_EX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [3:0] X_E_1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_FC;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_FRA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_FRAp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_FRB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_FRBp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_FRS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_FRSp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_FRT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_FRTp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] X_IH;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [7:0] X_IMM8;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire X_L;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire X_L1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] X_L2;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] X_L3;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_MO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_NB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire X_PRS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire X_R;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_RB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] X_RIC;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] X_RM;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire X_RO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_RS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_RSp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_RT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_RTp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire X_R_1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire X_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_S;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_SH;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_SI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] X_SP;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [3:0] X_SR;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire X_SX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] X_SX_S;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_T;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [9:0] X_TBR;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_TH;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_TO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire X_TX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] X_TX_T;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [3:0] X_U;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_UIM;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_VRS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_VRT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire X_W;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] X_WC;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [9:0] X_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [7:0] X_XO_1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] Z22_BF;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] Z22_DCM;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] Z22_DGM;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] Z22_FRA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] Z22_FRAp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] Z22_FRT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] Z22_FRTp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire Z22_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] Z22_SH;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [8:0] Z22_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] Z23_FRA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] Z23_FRAp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] Z23_FRB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] Z23_FRBp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] Z23_FRT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] Z23_FRTp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire Z23_R;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] Z23_RMC;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire Z23_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] Z23_TE;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [7:0] Z23_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] all_PO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:479" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:481" *)
input bigendian;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
output [31:0] opcode_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
wire [5:0] opcode_switch;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:478" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:480" *)
input [31:0] raw_opcode_in;
- assign \$1 = bigendian ? (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:519" *) { raw_opcode_in[7:0], raw_opcode_in[15:8], raw_opcode_in[23:16], raw_opcode_in[31:24] } : raw_opcode_in;
+ assign \$1 = bigendian ? (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:521" *) { raw_opcode_in[7:0], raw_opcode_in[15:8], raw_opcode_in[23:16], raw_opcode_in[31:24] } : raw_opcode_in;
LDST_dec31 LDST_dec31 (
.LDST_dec31_SV_Ptype(LDST_dec31_LDST_dec31_SV_Ptype),
.LDST_dec31_br(LDST_dec31_LDST_dec31_br),
always @* begin
if (\initial ) begin end
LDST_cr_out = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1f:
LDST_cr_out = LDST_dec31_LDST_dec31_cr_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h3a:
LDST_cr_out = LDST_dec58_LDST_dec58_cr_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h3e:
LDST_cr_out = LDST_dec62_LDST_dec62_cr_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h22:
LDST_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h23:
LDST_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h32:
LDST_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h33:
LDST_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h30:
LDST_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h31:
LDST_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2a:
LDST_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2b:
LDST_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h28:
LDST_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h29:
LDST_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h20:
LDST_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h21:
LDST_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h26:
LDST_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h27:
LDST_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h36:
LDST_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h37:
LDST_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h34:
LDST_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h35:
LDST_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2c:
LDST_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2d:
LDST_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h24:
LDST_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h25:
LDST_cr_out = 3'h0;
endcase
always @* begin
if (\initial ) begin end
LDST_ldst_len = 4'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1f:
LDST_ldst_len = LDST_dec31_LDST_dec31_ldst_len;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h3a:
LDST_ldst_len = LDST_dec58_LDST_dec58_ldst_len;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h3e:
LDST_ldst_len = LDST_dec62_LDST_dec62_ldst_len;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h22:
LDST_ldst_len = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h23:
LDST_ldst_len = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h32:
LDST_ldst_len = 4'h8;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h33:
LDST_ldst_len = 4'h8;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h30:
LDST_ldst_len = 4'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h31:
LDST_ldst_len = 4'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2a:
LDST_ldst_len = 4'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2b:
LDST_ldst_len = 4'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h28:
LDST_ldst_len = 4'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h29:
LDST_ldst_len = 4'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h20:
LDST_ldst_len = 4'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h21:
LDST_ldst_len = 4'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h26:
LDST_ldst_len = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h27:
LDST_ldst_len = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h36:
LDST_ldst_len = 4'h8;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h37:
LDST_ldst_len = 4'h8;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h34:
LDST_ldst_len = 4'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h35:
LDST_ldst_len = 4'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2c:
LDST_ldst_len = 4'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2d:
LDST_ldst_len = 4'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h24:
LDST_ldst_len = 4'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h25:
LDST_ldst_len = 4'h4;
endcase
always @* begin
if (\initial ) begin end
LDST_upd = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1f:
LDST_upd = LDST_dec31_LDST_dec31_upd;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h3a:
LDST_upd = LDST_dec58_LDST_dec58_upd;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h3e:
LDST_upd = LDST_dec62_LDST_dec62_upd;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h22:
LDST_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h23:
LDST_upd = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h32:
LDST_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h33:
LDST_upd = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h30:
LDST_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h31:
LDST_upd = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2a:
LDST_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2b:
LDST_upd = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h28:
LDST_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h29:
LDST_upd = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h20:
LDST_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h21:
LDST_upd = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h26:
LDST_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h27:
LDST_upd = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h36:
LDST_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h37:
LDST_upd = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h34:
LDST_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h35:
LDST_upd = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2c:
LDST_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2d:
LDST_upd = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h24:
LDST_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h25:
LDST_upd = 2'h1;
endcase
always @* begin
if (\initial ) begin end
LDST_rc_sel = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1f:
LDST_rc_sel = LDST_dec31_LDST_dec31_rc_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h3a:
LDST_rc_sel = LDST_dec58_LDST_dec58_rc_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h3e:
LDST_rc_sel = LDST_dec62_LDST_dec62_rc_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h22:
LDST_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h23:
LDST_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h32:
LDST_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h33:
LDST_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h30:
LDST_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h31:
LDST_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2a:
LDST_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2b:
LDST_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h28:
LDST_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h29:
LDST_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h20:
LDST_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h21:
LDST_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h26:
LDST_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h27:
LDST_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h36:
LDST_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h37:
LDST_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h34:
LDST_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h35:
LDST_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2c:
LDST_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2d:
LDST_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h24:
LDST_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h25:
LDST_rc_sel = 2'h0;
endcase
always @* begin
if (\initial ) begin end
LDST_br = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1f:
LDST_br = LDST_dec31_LDST_dec31_br;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h3a:
LDST_br = LDST_dec58_LDST_dec58_br;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h3e:
LDST_br = LDST_dec62_LDST_dec62_br;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h22:
LDST_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h23:
LDST_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h32:
LDST_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h33:
LDST_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h30:
LDST_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h31:
LDST_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2a:
LDST_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2b:
LDST_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h28:
LDST_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h29:
LDST_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h20:
LDST_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h21:
LDST_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h26:
LDST_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h27:
LDST_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h36:
LDST_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h37:
LDST_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h34:
LDST_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h35:
LDST_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2c:
LDST_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2d:
LDST_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h24:
LDST_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h25:
LDST_br = 1'h0;
endcase
always @* begin
if (\initial ) begin end
LDST_sgn_ext = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1f:
LDST_sgn_ext = LDST_dec31_LDST_dec31_sgn_ext;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h3a:
LDST_sgn_ext = LDST_dec58_LDST_dec58_sgn_ext;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h3e:
LDST_sgn_ext = LDST_dec62_LDST_dec62_sgn_ext;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h22:
LDST_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h23:
LDST_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h32:
LDST_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h33:
LDST_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h30:
LDST_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h31:
LDST_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2a:
LDST_sgn_ext = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2b:
LDST_sgn_ext = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h28:
LDST_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h29:
LDST_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h20:
LDST_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h21:
LDST_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h26:
LDST_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h27:
LDST_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h36:
LDST_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h37:
LDST_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h34:
LDST_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h35:
LDST_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2c:
LDST_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2d:
LDST_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h24:
LDST_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h25:
LDST_sgn_ext = 1'h0;
endcase
always @* begin
if (\initial ) begin end
LDST_is_32b = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1f:
LDST_is_32b = LDST_dec31_LDST_dec31_is_32b;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h3a:
LDST_is_32b = LDST_dec58_LDST_dec58_is_32b;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h3e:
LDST_is_32b = LDST_dec62_LDST_dec62_is_32b;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h22:
LDST_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h23:
LDST_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h32:
LDST_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h33:
LDST_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h30:
LDST_is_32b = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h31:
LDST_is_32b = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2a:
LDST_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2b:
LDST_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h28:
LDST_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h29:
LDST_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h20:
LDST_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h21:
LDST_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h26:
LDST_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h27:
LDST_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h36:
LDST_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h37:
LDST_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h34:
LDST_is_32b = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h35:
LDST_is_32b = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2c:
LDST_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2d:
LDST_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h24:
LDST_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h25:
LDST_is_32b = 1'h0;
endcase
always @* begin
if (\initial ) begin end
LDST_sgn = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1f:
LDST_sgn = LDST_dec31_LDST_dec31_sgn;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h3a:
LDST_sgn = LDST_dec58_LDST_dec58_sgn;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h3e:
LDST_sgn = LDST_dec62_LDST_dec62_sgn;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h22:
LDST_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h23:
LDST_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h32:
LDST_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h33:
LDST_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h30:
LDST_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h31:
LDST_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2a:
LDST_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2b:
LDST_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h28:
LDST_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h29:
LDST_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h20:
LDST_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h21:
LDST_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h26:
LDST_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h27:
LDST_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h36:
LDST_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h37:
LDST_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h34:
LDST_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h35:
LDST_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2c:
LDST_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2d:
LDST_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h24:
LDST_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h25:
LDST_sgn = 1'h0;
endcase
always @* begin
if (\initial ) begin end
LDST_function_unit = 15'h0000;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1f:
LDST_function_unit = LDST_dec31_LDST_dec31_function_unit;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h3a:
LDST_function_unit = LDST_dec58_LDST_dec58_function_unit;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h3e:
LDST_function_unit = LDST_dec62_LDST_dec62_function_unit;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h22:
LDST_function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h23:
LDST_function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h32:
LDST_function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h33:
LDST_function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h30:
LDST_function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h31:
LDST_function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2a:
LDST_function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2b:
LDST_function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h28:
LDST_function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h29:
LDST_function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h20:
LDST_function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h21:
LDST_function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h26:
LDST_function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h27:
LDST_function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h36:
LDST_function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h37:
LDST_function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h34:
LDST_function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h35:
LDST_function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2c:
LDST_function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2d:
LDST_function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h24:
LDST_function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h25:
LDST_function_unit = 15'h0004;
endcase
always @* begin
if (\initial ) begin end
LDST_internal_op = 7'h00;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1f:
LDST_internal_op = LDST_dec31_LDST_dec31_internal_op;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h3a:
LDST_internal_op = LDST_dec58_LDST_dec58_internal_op;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h3e:
LDST_internal_op = LDST_dec62_LDST_dec62_internal_op;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h22:
LDST_internal_op = 7'h25;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h23:
LDST_internal_op = 7'h25;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h32:
LDST_internal_op = 7'h25;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h33:
LDST_internal_op = 7'h25;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h30:
LDST_internal_op = 7'h25;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h31:
LDST_internal_op = 7'h25;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2a:
LDST_internal_op = 7'h25;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2b:
LDST_internal_op = 7'h25;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h28:
LDST_internal_op = 7'h25;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h29:
LDST_internal_op = 7'h25;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h20:
LDST_internal_op = 7'h25;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h21:
LDST_internal_op = 7'h25;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h26:
LDST_internal_op = 7'h26;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h27:
LDST_internal_op = 7'h26;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h36:
LDST_internal_op = 7'h26;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h37:
LDST_internal_op = 7'h26;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h34:
LDST_internal_op = 7'h26;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h35:
LDST_internal_op = 7'h26;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2c:
LDST_internal_op = 7'h26;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2d:
LDST_internal_op = 7'h26;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h24:
LDST_internal_op = 7'h26;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h25:
LDST_internal_op = 7'h26;
endcase
always @* begin
if (\initial ) begin end
LDST_SV_Ptype = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1f:
LDST_SV_Ptype = LDST_dec31_LDST_dec31_SV_Ptype;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h3a:
LDST_SV_Ptype = LDST_dec58_LDST_dec58_SV_Ptype;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h3e:
LDST_SV_Ptype = LDST_dec62_LDST_dec62_SV_Ptype;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h22:
LDST_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h23:
LDST_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h32:
LDST_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h33:
LDST_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h30:
LDST_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h31:
LDST_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2a:
LDST_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2b:
LDST_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h28:
LDST_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h29:
LDST_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h20:
LDST_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h21:
LDST_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h26:
LDST_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h27:
LDST_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h36:
LDST_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h37:
LDST_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h34:
LDST_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h35:
LDST_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2c:
LDST_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2d:
LDST_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h24:
LDST_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h25:
LDST_SV_Ptype = 2'h2;
endcase
always @* begin
if (\initial ) begin end
LDST_in1_sel = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1f:
LDST_in1_sel = LDST_dec31_LDST_dec31_in1_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h3a:
LDST_in1_sel = LDST_dec58_LDST_dec58_in1_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h3e:
LDST_in1_sel = LDST_dec62_LDST_dec62_in1_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h22:
LDST_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h23:
LDST_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h32:
LDST_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h33:
LDST_in1_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h30:
LDST_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h31:
LDST_in1_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2a:
LDST_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2b:
LDST_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h28:
LDST_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h29:
LDST_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h20:
LDST_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h21:
LDST_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h26:
LDST_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h27:
LDST_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h36:
LDST_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h37:
LDST_in1_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h34:
LDST_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h35:
LDST_in1_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2c:
LDST_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2d:
LDST_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h24:
LDST_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h25:
LDST_in1_sel = 3'h2;
endcase
always @* begin
if (\initial ) begin end
LDST_in2_sel = 4'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1f:
LDST_in2_sel = LDST_dec31_LDST_dec31_in2_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h3a:
LDST_in2_sel = LDST_dec58_LDST_dec58_in2_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h3e:
LDST_in2_sel = LDST_dec62_LDST_dec62_in2_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h22:
LDST_in2_sel = 4'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h23:
LDST_in2_sel = 4'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h32:
LDST_in2_sel = 4'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h33:
LDST_in2_sel = 4'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h30:
LDST_in2_sel = 4'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h31:
LDST_in2_sel = 4'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2a:
LDST_in2_sel = 4'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2b:
LDST_in2_sel = 4'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h28:
LDST_in2_sel = 4'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h29:
LDST_in2_sel = 4'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h20:
LDST_in2_sel = 4'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h21:
LDST_in2_sel = 4'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h26:
LDST_in2_sel = 4'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h27:
LDST_in2_sel = 4'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h36:
LDST_in2_sel = 4'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h37:
LDST_in2_sel = 4'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h34:
LDST_in2_sel = 4'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h35:
LDST_in2_sel = 4'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2c:
LDST_in2_sel = 4'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2d:
LDST_in2_sel = 4'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h24:
LDST_in2_sel = 4'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h25:
LDST_in2_sel = 4'h3;
endcase
always @* begin
if (\initial ) begin end
LDST_cr_in = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1f:
LDST_cr_in = LDST_dec31_LDST_dec31_cr_in;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h3a:
LDST_cr_in = LDST_dec58_LDST_dec58_cr_in;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h3e:
LDST_cr_in = LDST_dec62_LDST_dec62_cr_in;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h22:
LDST_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h23:
LDST_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h32:
LDST_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h33:
LDST_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h30:
LDST_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h31:
LDST_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2a:
LDST_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2b:
LDST_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h28:
LDST_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h29:
LDST_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h20:
LDST_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h21:
LDST_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h26:
LDST_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h27:
LDST_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h36:
LDST_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h37:
LDST_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h34:
LDST_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h35:
LDST_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2c:
LDST_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2d:
LDST_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h24:
LDST_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h25:
LDST_cr_in = 3'h0;
endcase
(* generator = "nMigen" *)
module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_unit, cr_out, cry_in, is_32b, lk, LK, cr_in, in1_sel, in2_sel, in3_sel, out_sel, asmcode, upd, FRS, FRT, FRA, FRB, FRC, RS, RT, RA, RB, Rc, OE, BB, BA, BT, FXM, BO, BI, BC, X_BF, X_BFA, XL_BT, XL_XO, bigendian);
reg \initial = 0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:519" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:521" *)
wire [31:0] \$2 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire AA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] A_BC;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] A_FRA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] A_FRB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] A_FRC;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] A_FRT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] A_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] A_RB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] A_RT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire A_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] A_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
output [4:0] BA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
output [4:0] BB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
output [4:0] BC;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [13:0] BD;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [2:0] BF;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [1:0] BH;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
output [4:0] BI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
output [4:0] BO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
output [4:0] BT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire B_AA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [13:0] B_BD;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] B_BI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] B_BO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire B_LK;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [9:0] CR;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [15:0] D;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DQE_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DQE_RT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] DQE_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [11:0] DQ_DQ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [3:0] DQ_PT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DQ_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DQ_RTp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DQ_S;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire DQ_SX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] DQ_SX_S;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DQ_T;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire DQ_TX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] DQ_TX_T;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] DQ_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [13:0] DS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [13:0] DS_DS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DS_FRSp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DS_FRTp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DS_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DS_RS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DS_RSp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DS_RT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DS_VRS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DS_VRT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] DS_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DX_RT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DX_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [9:0] DX_d0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [15:0] DX_d0_d1_d2;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] DX_d1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire DX_d2;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] D_BF;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [15:0] D_D;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] D_FRS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] D_FRT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire D_L;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] D_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] D_RS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] D_RT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [15:0] D_SI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] D_TO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [15:0] D_UI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] EVS_BFA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
output [4:0] FRA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
output [4:0] FRB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
output [4:0] FRC;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
output [4:0] FRS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
output [4:0] FRT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
output [7:0] FXM;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire I_AA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [23:0] I_LI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire I_LK;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire L;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [23:0] LI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
output LK;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] MB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] MB32;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] MDS_IB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] MDS_IS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] MDS_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] MDS_RB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] MDS_RS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire MDS_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [3:0] MDS_XBI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [3:0] MDS_XBI_1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [3:0] MDS_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] MDS_mb;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] MDS_me;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] MD_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] MD_RS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire MD_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] MD_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] MD_mb;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] MD_me;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] MD_sh;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] ME;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] ME32;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] M_MB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] M_ME;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] M_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] M_RB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] M_RS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire M_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] M_SH;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
output OE;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [5:0] PO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
output [4:0] RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
output [4:0] RB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
output [4:0] RS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
output [4:0] RT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
output Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [6:0] SC_LEV;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire SC_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] SC_XO_1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] SH;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] SH32;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [15:0] SI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
output [9:0] SPR;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] SVL_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] SVL_RT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire SVL_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [7:0] SVL_SVi;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] SVL_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire SVL_ms;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire SVL_vs;
(* enum_base_type = "SVEtype" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "EXTRA2" *)
(* enum_value_10 = "EXTRA3" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
reg [1:0] SV_Etype;
(* enum_base_type = "SVPtype" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "P1" *)
(* enum_value_10 = "P2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
reg [1:0] SV_Ptype;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] TO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] TX_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] TX_UI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [3:0] TX_XBI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] TX_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [15:0] UI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VA_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VA_RB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VA_RC;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VA_RT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [3:0] VA_SHB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VA_VRA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VA_VRB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VA_VRC;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VA_VRT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] VA_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire VC_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VC_VRA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VC_VRB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VC_VRT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [9:0] VC_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VX_EO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire VX_PS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VX_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VX_RT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VX_SIM;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VX_UIM;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [3:0] VX_UIM_1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] VX_UIM_2;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] VX_UIM_3;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VX_VRA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VX_VRB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] VX_VRT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [9:0] VX_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [10:0] VX_XO_1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [7:0] XFL_FLM;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XFL_FRB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XFL_L;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XFL_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XFL_W;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [9:0] XFL_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [9:0] XFX_BHRBE;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XFX_DUI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [9:0] XFX_DUIS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [7:0] XFX_FXM;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XFX_RS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XFX_RT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [9:0] XFX_SPR;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [9:0] XFX_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XL_BA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XL_BB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] XL_BF;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] XL_BFA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] XL_BH;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XL_BI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XL_BO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XL_BO_1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
output [4:0] XL_BT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XL_LK;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [14:0] XL_OC;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XL_S;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
output [9:0] XL_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XO_OE;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XO_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XO_RB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XO_RT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XO_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [8:0] XO_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XS_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XS_RS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XS_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [8:0] XS_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] XS_sh;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XX2_B;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] XX2_BF;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XX2_BX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] XX2_BX_B;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [6:0] XX2_DCMX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XX2_EO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XX2_RT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XX2_T;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XX2_TX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] XX2_TX_T;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [3:0] XX2_UIM;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] XX2_UIM_1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [6:0] XX2_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [8:0] XX2_XO_1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XX2_dc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [6:0] XX2_dc_dm_dx;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XX2_dm;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XX2_dx;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XX3_A;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XX3_AX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] XX3_AX_A;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XX3_B;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] XX3_BF;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XX3_BX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] XX3_BX_B;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] XX3_DM;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XX3_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] XX3_SHW;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XX3_T;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XX3_TX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] XX3_TX_T;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [3:0] XX3_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [7:0] XX3_XO_1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [8:0] XX3_XO_2;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XX4_A;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XX4_AX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] XX4_AX_A;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XX4_B;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XX4_BX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] XX4_BX_B;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XX4_C;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XX4_CX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] XX4_CX_C;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] XX4_T;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire XX4_TX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] XX4_TX_T;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] XX4_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire X_A;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
output [2:0] X_BF;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
output [2:0] X_BFA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_BO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [3:0] X_CT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [6:0] X_DCMX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] X_DRM;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire X_E;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] X_EO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_EO_1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire X_EX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [3:0] X_E_1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_FC;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_FRA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_FRAp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_FRB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_FRBp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_FRS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_FRSp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_FRT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_FRTp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] X_IH;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [7:0] X_IMM8;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire X_L;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire X_L1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] X_L2;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] X_L3;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_MO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_NB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire X_PRS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire X_R;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_RB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] X_RIC;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] X_RM;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire X_RO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_RS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_RSp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_RT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_RTp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire X_R_1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire X_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_S;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_SH;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_SI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] X_SP;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [3:0] X_SR;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire X_SX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] X_SX_S;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_T;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [9:0] X_TBR;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_TH;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_TO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire X_TX;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] X_TX_T;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [3:0] X_U;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_UIM;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_VRS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] X_VRT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire X_W;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] X_WC;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [9:0] X_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [7:0] X_XO_1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] Z22_BF;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] Z22_DCM;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] Z22_DGM;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] Z22_FRA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] Z22_FRAp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] Z22_FRT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] Z22_FRTp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire Z22_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] Z22_SH;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [8:0] Z22_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] Z23_FRA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] Z23_FRAp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] Z23_FRB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] Z23_FRBp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] Z23_FRT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] Z23_FRTp;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire Z23_R;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [1:0] Z23_RMC;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire Z23_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] Z23_TE;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [7:0] Z23_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [5:0] all_PO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [7:0] asmcode;
reg [7:0] asmcode;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:479" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:481" *)
input bigendian;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
reg br;
(* enum_base_type = "CRInSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_101 = "BC" *)
(* enum_value_110 = "WHOLE_REG" *)
(* enum_value_111 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] cr_in;
reg [2:0] cr_in;
(* enum_base_type = "CROutSel" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] cr_out;
reg [2:0] cr_out;
(* enum_base_type = "CryIn" *)
(* enum_value_00 = "ZERO" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "CA" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] cry_in;
reg [1:0] cry_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
reg cry_out;
(* enum_base_type = "SVEtype" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "EXTRA2" *)
(* enum_value_10 = "EXTRA3" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec19_dec19_SV_Etype;
(* enum_base_type = "SVPtype" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "P1" *)
(* enum_value_10 = "P2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec19_dec19_SV_Ptype;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [7:0] dec19_dec19_asmcode;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec19_dec19_br;
(* enum_base_type = "CRInSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_101 = "BC" *)
(* enum_value_110 = "WHOLE_REG" *)
(* enum_value_111 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec19_dec19_cr_in;
(* enum_base_type = "CROutSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec19_dec19_cr_out;
(* enum_base_type = "CryIn" *)
(* enum_value_00 = "ZERO" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "CA" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec19_dec19_cry_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec19_dec19_cry_out;
(* enum_base_type = "Form" *)
(* enum_value_00000 = "NONE" *)
(* enum_value_11011 = "Z22" *)
(* enum_value_11100 = "Z23" *)
(* enum_value_11101 = "SVL" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [4:0] dec19_dec19_form;
(* enum_base_type = "Function" *)
(* enum_value_000000000000000 = "NONE" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [14:0] dec19_dec19_function_unit;
(* enum_base_type = "In1Sel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_100 = "RS" *)
(* enum_value_101 = "FRA" *)
(* enum_value_110 = "FRS" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec19_dec19_in1_sel;
(* enum_base_type = "In2Sel" *)
(* enum_value_0000 = "NONE" *)
(* enum_value_1100 = "SPR" *)
(* enum_value_1101 = "RS" *)
(* enum_value_1110 = "FRB" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [3:0] dec19_dec19_in2_sel;
(* enum_base_type = "In3Sel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_010 = "RB" *)
(* enum_value_011 = "FRS" *)
(* enum_value_100 = "FRC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec19_dec19_in3_sel;
(* enum_base_type = "MicrOp" *)
(* enum_value_0000000 = "OP_ILLEGAL" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [6:0] dec19_dec19_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec19_dec19_inv_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec19_dec19_inv_out;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec19_dec19_is_32b;
(* enum_base_type = "LdstLen" *)
(* enum_value_0000 = "NONE" *)
(* enum_value_0010 = "is2B" *)
(* enum_value_0100 = "is4B" *)
(* enum_value_1000 = "is8B" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [3:0] dec19_dec19_ldst_len;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec19_dec19_lk;
(* enum_base_type = "OutSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "SPR" *)
(* enum_value_100 = "RT_OR_ZERO" *)
(* enum_value_101 = "FRT" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec19_dec19_out_sel;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec19_dec19_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec19_dec19_rsrv;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec19_dec19_sgl_pipe;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec19_dec19_sgn;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec19_dec19_sgn_ext;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec19_dec19_sv_cr_in;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec19_dec19_sv_cr_out;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec19_dec19_sv_in1;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec19_dec19_sv_in2;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec19_dec19_sv_in3;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec19_dec19_sv_out;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec19_dec19_sv_out2;
(* enum_base_type = "LDSTMode" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "update" *)
(* enum_value_10 = "cix" *)
(* enum_value_11 = "cx" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec19_dec19_upd;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
wire [31:0] dec19_opcode_in;
(* enum_base_type = "SVEtype" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "EXTRA2" *)
(* enum_value_10 = "EXTRA3" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec22_dec22_SV_Etype;
(* enum_base_type = "SVPtype" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "P1" *)
(* enum_value_10 = "P2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec22_dec22_SV_Ptype;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [7:0] dec22_dec22_asmcode;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec22_dec22_br;
(* enum_base_type = "CRInSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_101 = "BC" *)
(* enum_value_110 = "WHOLE_REG" *)
(* enum_value_111 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec22_dec22_cr_in;
(* enum_base_type = "CROutSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec22_dec22_cr_out;
(* enum_base_type = "CryIn" *)
(* enum_value_00 = "ZERO" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "CA" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec22_dec22_cry_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec22_dec22_cry_out;
(* enum_base_type = "Form" *)
(* enum_value_00000 = "NONE" *)
(* enum_value_11011 = "Z22" *)
(* enum_value_11100 = "Z23" *)
(* enum_value_11101 = "SVL" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [4:0] dec22_dec22_form;
(* enum_base_type = "Function" *)
(* enum_value_000000000000000 = "NONE" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [14:0] dec22_dec22_function_unit;
(* enum_base_type = "In1Sel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_100 = "RS" *)
(* enum_value_101 = "FRA" *)
(* enum_value_110 = "FRS" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec22_dec22_in1_sel;
(* enum_base_type = "In2Sel" *)
(* enum_value_0000 = "NONE" *)
(* enum_value_1100 = "SPR" *)
(* enum_value_1101 = "RS" *)
(* enum_value_1110 = "FRB" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [3:0] dec22_dec22_in2_sel;
(* enum_base_type = "In3Sel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_010 = "RB" *)
(* enum_value_011 = "FRS" *)
(* enum_value_100 = "FRC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec22_dec22_in3_sel;
(* enum_base_type = "MicrOp" *)
(* enum_value_0000000 = "OP_ILLEGAL" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [6:0] dec22_dec22_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec22_dec22_inv_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec22_dec22_inv_out;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec22_dec22_is_32b;
(* enum_base_type = "LdstLen" *)
(* enum_value_0000 = "NONE" *)
(* enum_value_0010 = "is2B" *)
(* enum_value_0100 = "is4B" *)
(* enum_value_1000 = "is8B" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [3:0] dec22_dec22_ldst_len;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec22_dec22_lk;
(* enum_base_type = "OutSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "SPR" *)
(* enum_value_100 = "RT_OR_ZERO" *)
(* enum_value_101 = "FRT" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec22_dec22_out_sel;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec22_dec22_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec22_dec22_rsrv;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec22_dec22_sgl_pipe;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec22_dec22_sgn;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec22_dec22_sgn_ext;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec22_dec22_sv_cr_in;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec22_dec22_sv_cr_out;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec22_dec22_sv_in1;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec22_dec22_sv_in2;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec22_dec22_sv_in3;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec22_dec22_sv_out;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec22_dec22_sv_out2;
(* enum_base_type = "LDSTMode" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "update" *)
(* enum_value_10 = "cix" *)
(* enum_value_11 = "cx" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec22_dec22_upd;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
wire [31:0] dec22_opcode_in;
(* enum_base_type = "SVEtype" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "EXTRA2" *)
(* enum_value_10 = "EXTRA3" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec30_dec30_SV_Etype;
(* enum_base_type = "SVPtype" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "P1" *)
(* enum_value_10 = "P2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec30_dec30_SV_Ptype;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [7:0] dec30_dec30_asmcode;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec30_dec30_br;
(* enum_base_type = "CRInSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_101 = "BC" *)
(* enum_value_110 = "WHOLE_REG" *)
(* enum_value_111 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec30_dec30_cr_in;
(* enum_base_type = "CROutSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec30_dec30_cr_out;
(* enum_base_type = "CryIn" *)
(* enum_value_00 = "ZERO" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "CA" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec30_dec30_cry_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec30_dec30_cry_out;
(* enum_base_type = "Form" *)
(* enum_value_00000 = "NONE" *)
(* enum_value_11011 = "Z22" *)
(* enum_value_11100 = "Z23" *)
(* enum_value_11101 = "SVL" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [4:0] dec30_dec30_form;
(* enum_base_type = "Function" *)
(* enum_value_000000000000000 = "NONE" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [14:0] dec30_dec30_function_unit;
(* enum_base_type = "In1Sel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_100 = "RS" *)
(* enum_value_101 = "FRA" *)
(* enum_value_110 = "FRS" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec30_dec30_in1_sel;
(* enum_base_type = "In2Sel" *)
(* enum_value_0000 = "NONE" *)
(* enum_value_1100 = "SPR" *)
(* enum_value_1101 = "RS" *)
(* enum_value_1110 = "FRB" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [3:0] dec30_dec30_in2_sel;
(* enum_base_type = "In3Sel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_010 = "RB" *)
(* enum_value_011 = "FRS" *)
(* enum_value_100 = "FRC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec30_dec30_in3_sel;
(* enum_base_type = "MicrOp" *)
(* enum_value_0000000 = "OP_ILLEGAL" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [6:0] dec30_dec30_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec30_dec30_inv_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec30_dec30_inv_out;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec30_dec30_is_32b;
(* enum_base_type = "LdstLen" *)
(* enum_value_0000 = "NONE" *)
(* enum_value_0010 = "is2B" *)
(* enum_value_0100 = "is4B" *)
(* enum_value_1000 = "is8B" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [3:0] dec30_dec30_ldst_len;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec30_dec30_lk;
(* enum_base_type = "OutSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "SPR" *)
(* enum_value_100 = "RT_OR_ZERO" *)
(* enum_value_101 = "FRT" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec30_dec30_out_sel;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec30_dec30_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec30_dec30_rsrv;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec30_dec30_sgl_pipe;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec30_dec30_sgn;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec30_dec30_sgn_ext;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec30_dec30_sv_cr_in;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec30_dec30_sv_cr_out;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec30_dec30_sv_in1;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec30_dec30_sv_in2;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec30_dec30_sv_in3;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec30_dec30_sv_out;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec30_dec30_sv_out2;
(* enum_base_type = "LDSTMode" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "update" *)
(* enum_value_10 = "cix" *)
(* enum_value_11 = "cx" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec30_dec30_upd;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
wire [31:0] dec30_opcode_in;
(* enum_base_type = "SVEtype" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "EXTRA2" *)
(* enum_value_10 = "EXTRA3" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec31_dec31_SV_Etype;
(* enum_base_type = "SVPtype" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "P1" *)
(* enum_value_10 = "P2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec31_dec31_SV_Ptype;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [7:0] dec31_dec31_asmcode;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec31_br;
(* enum_base_type = "CRInSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_101 = "BC" *)
(* enum_value_110 = "WHOLE_REG" *)
(* enum_value_111 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec31_cr_in;
(* enum_base_type = "CROutSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec31_cr_out;
(* enum_base_type = "CryIn" *)
(* enum_value_00 = "ZERO" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "CA" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec31_dec31_cry_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec31_cry_out;
(* enum_base_type = "Form" *)
(* enum_value_00000 = "NONE" *)
(* enum_value_11011 = "Z22" *)
(* enum_value_11100 = "Z23" *)
(* enum_value_11101 = "SVL" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [4:0] dec31_dec31_form;
(* enum_base_type = "Function" *)
(* enum_value_000000000000000 = "NONE" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [14:0] dec31_dec31_function_unit;
(* enum_base_type = "In1Sel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_100 = "RS" *)
(* enum_value_101 = "FRA" *)
(* enum_value_110 = "FRS" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec31_in1_sel;
(* enum_base_type = "In2Sel" *)
(* enum_value_0000 = "NONE" *)
(* enum_value_1100 = "SPR" *)
(* enum_value_1101 = "RS" *)
(* enum_value_1110 = "FRB" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [3:0] dec31_dec31_in2_sel;
(* enum_base_type = "In3Sel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_010 = "RB" *)
(* enum_value_011 = "FRS" *)
(* enum_value_100 = "FRC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec31_in3_sel;
(* enum_base_type = "MicrOp" *)
(* enum_value_0000000 = "OP_ILLEGAL" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [6:0] dec31_dec31_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec31_inv_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec31_inv_out;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec31_is_32b;
(* enum_base_type = "LdstLen" *)
(* enum_value_0000 = "NONE" *)
(* enum_value_0010 = "is2B" *)
(* enum_value_0100 = "is4B" *)
(* enum_value_1000 = "is8B" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [3:0] dec31_dec31_ldst_len;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec31_lk;
(* enum_base_type = "OutSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "SPR" *)
(* enum_value_100 = "RT_OR_ZERO" *)
(* enum_value_101 = "FRT" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec31_out_sel;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec31_dec31_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec31_rsrv;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec31_sgl_pipe;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec31_sgn;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec31_sgn_ext;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec31_sv_cr_in;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec31_sv_cr_out;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec31_sv_in1;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec31_sv_in2;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec31_sv_in3;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec31_sv_out;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec31_sv_out2;
(* enum_base_type = "LDSTMode" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "update" *)
(* enum_value_10 = "cix" *)
(* enum_value_11 = "cx" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec31_dec31_upd;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
wire [31:0] dec31_opcode_in;
(* enum_base_type = "SVEtype" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "EXTRA2" *)
(* enum_value_10 = "EXTRA3" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec58_dec58_SV_Etype;
(* enum_base_type = "SVPtype" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "P1" *)
(* enum_value_10 = "P2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec58_dec58_SV_Ptype;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [7:0] dec58_dec58_asmcode;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec58_dec58_br;
(* enum_base_type = "CRInSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_101 = "BC" *)
(* enum_value_110 = "WHOLE_REG" *)
(* enum_value_111 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec58_dec58_cr_in;
(* enum_base_type = "CROutSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec58_dec58_cr_out;
(* enum_base_type = "CryIn" *)
(* enum_value_00 = "ZERO" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "CA" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec58_dec58_cry_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec58_dec58_cry_out;
(* enum_base_type = "Form" *)
(* enum_value_00000 = "NONE" *)
(* enum_value_11011 = "Z22" *)
(* enum_value_11100 = "Z23" *)
(* enum_value_11101 = "SVL" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [4:0] dec58_dec58_form;
(* enum_base_type = "Function" *)
(* enum_value_000000000000000 = "NONE" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [14:0] dec58_dec58_function_unit;
(* enum_base_type = "In1Sel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_100 = "RS" *)
(* enum_value_101 = "FRA" *)
(* enum_value_110 = "FRS" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec58_dec58_in1_sel;
(* enum_base_type = "In2Sel" *)
(* enum_value_0000 = "NONE" *)
(* enum_value_1100 = "SPR" *)
(* enum_value_1101 = "RS" *)
(* enum_value_1110 = "FRB" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [3:0] dec58_dec58_in2_sel;
(* enum_base_type = "In3Sel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_010 = "RB" *)
(* enum_value_011 = "FRS" *)
(* enum_value_100 = "FRC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec58_dec58_in3_sel;
(* enum_base_type = "MicrOp" *)
(* enum_value_0000000 = "OP_ILLEGAL" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [6:0] dec58_dec58_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec58_dec58_inv_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec58_dec58_inv_out;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec58_dec58_is_32b;
(* enum_base_type = "LdstLen" *)
(* enum_value_0000 = "NONE" *)
(* enum_value_0010 = "is2B" *)
(* enum_value_0100 = "is4B" *)
(* enum_value_1000 = "is8B" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [3:0] dec58_dec58_ldst_len;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec58_dec58_lk;
(* enum_base_type = "OutSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "SPR" *)
(* enum_value_100 = "RT_OR_ZERO" *)
(* enum_value_101 = "FRT" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec58_dec58_out_sel;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec58_dec58_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec58_dec58_rsrv;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec58_dec58_sgl_pipe;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec58_dec58_sgn;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec58_dec58_sgn_ext;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec58_dec58_sv_cr_in;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec58_dec58_sv_cr_out;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec58_dec58_sv_in1;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec58_dec58_sv_in2;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec58_dec58_sv_in3;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec58_dec58_sv_out;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec58_dec58_sv_out2;
(* enum_base_type = "LDSTMode" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "update" *)
(* enum_value_10 = "cix" *)
(* enum_value_11 = "cx" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec58_dec58_upd;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
wire [31:0] dec58_opcode_in;
(* enum_base_type = "SVEtype" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "EXTRA2" *)
(* enum_value_10 = "EXTRA3" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec62_dec62_SV_Etype;
(* enum_base_type = "SVPtype" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "P1" *)
(* enum_value_10 = "P2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec62_dec62_SV_Ptype;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [7:0] dec62_dec62_asmcode;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec62_dec62_br;
(* enum_base_type = "CRInSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_101 = "BC" *)
(* enum_value_110 = "WHOLE_REG" *)
(* enum_value_111 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec62_dec62_cr_in;
(* enum_base_type = "CROutSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec62_dec62_cr_out;
(* enum_base_type = "CryIn" *)
(* enum_value_00 = "ZERO" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "CA" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec62_dec62_cry_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec62_dec62_cry_out;
(* enum_base_type = "Form" *)
(* enum_value_00000 = "NONE" *)
(* enum_value_11011 = "Z22" *)
(* enum_value_11100 = "Z23" *)
(* enum_value_11101 = "SVL" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [4:0] dec62_dec62_form;
(* enum_base_type = "Function" *)
(* enum_value_000000000000000 = "NONE" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [14:0] dec62_dec62_function_unit;
(* enum_base_type = "In1Sel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_100 = "RS" *)
(* enum_value_101 = "FRA" *)
(* enum_value_110 = "FRS" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec62_dec62_in1_sel;
(* enum_base_type = "In2Sel" *)
(* enum_value_0000 = "NONE" *)
(* enum_value_1100 = "SPR" *)
(* enum_value_1101 = "RS" *)
(* enum_value_1110 = "FRB" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [3:0] dec62_dec62_in2_sel;
(* enum_base_type = "In3Sel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_010 = "RB" *)
(* enum_value_011 = "FRS" *)
(* enum_value_100 = "FRC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec62_dec62_in3_sel;
(* enum_base_type = "MicrOp" *)
(* enum_value_0000000 = "OP_ILLEGAL" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [6:0] dec62_dec62_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec62_dec62_inv_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec62_dec62_inv_out;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec62_dec62_is_32b;
(* enum_base_type = "LdstLen" *)
(* enum_value_0000 = "NONE" *)
(* enum_value_0010 = "is2B" *)
(* enum_value_0100 = "is4B" *)
(* enum_value_1000 = "is8B" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [3:0] dec62_dec62_ldst_len;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec62_dec62_lk;
(* enum_base_type = "OutSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "SPR" *)
(* enum_value_100 = "RT_OR_ZERO" *)
(* enum_value_101 = "FRT" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec62_dec62_out_sel;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec62_dec62_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec62_dec62_rsrv;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec62_dec62_sgl_pipe;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec62_dec62_sgn;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec62_dec62_sgn_ext;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec62_dec62_sv_cr_in;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec62_dec62_sv_cr_out;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec62_dec62_sv_in1;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec62_dec62_sv_in2;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec62_dec62_sv_in3;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec62_dec62_sv_out;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec62_dec62_sv_out2;
(* enum_base_type = "LDSTMode" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "update" *)
(* enum_value_10 = "cix" *)
(* enum_value_11 = "cx" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec62_dec62_upd;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
wire [31:0] dec62_opcode_in;
(* enum_base_type = "Form" *)
(* enum_value_00000 = "NONE" *)
(* enum_value_11011 = "Z22" *)
(* enum_value_11100 = "Z23" *)
(* enum_value_11101 = "SVL" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
reg [4:0] form;
(* enum_base_type = "Function" *)
(* enum_value_000000000000000 = "NONE" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [14:0] function_unit;
reg [14:0] function_unit;
(* enum_base_type = "In1Sel" *)
(* enum_value_100 = "RS" *)
(* enum_value_101 = "FRA" *)
(* enum_value_110 = "FRS" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] in1_sel;
reg [2:0] in1_sel;
(* enum_base_type = "In2Sel" *)
(* enum_value_1100 = "SPR" *)
(* enum_value_1101 = "RS" *)
(* enum_value_1110 = "FRB" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [3:0] in2_sel;
reg [3:0] in2_sel;
(* enum_base_type = "In3Sel" *)
(* enum_value_010 = "RB" *)
(* enum_value_011 = "FRS" *)
(* enum_value_100 = "FRC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] in3_sel;
reg [2:0] in3_sel;
(* enum_base_type = "MicrOp" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [6:0] internal_op;
reg [6:0] internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
reg inv_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
reg inv_out;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output is_32b;
reg is_32b;
(* enum_base_type = "LdstLen" *)
(* enum_value_0010 = "is2B" *)
(* enum_value_0100 = "is4B" *)
(* enum_value_1000 = "is8B" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
reg [3:0] ldst_len;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output lk;
reg lk;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
output [31:0] opcode_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
wire [5:0] opcode_switch;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
wire [31:0] \opcode_switch$1 ;
(* enum_base_type = "OutSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "SPR" *)
(* enum_value_100 = "RT_OR_ZERO" *)
(* enum_value_101 = "FRT" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] out_sel;
reg [2:0] out_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:478" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:480" *)
input [31:0] raw_opcode_in;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] rc_sel;
reg [1:0] rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
reg rsrv;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
reg sgl_pipe;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
reg sgn;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
reg sgn_ext;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [5:0] sh;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
reg [2:0] sv_cr_in;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
reg [2:0] sv_cr_out;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
reg [2:0] sv_in1;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
reg [2:0] sv_in2;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
reg [2:0] sv_in3;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
reg [2:0] sv_out;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
reg [2:0] sv_out2;
(* enum_base_type = "LDSTMode" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "update" *)
(* enum_value_10 = "cix" *)
(* enum_value_11 = "cx" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] upd;
reg [1:0] upd;
- assign \$2 = bigendian ? (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:519" *) { raw_opcode_in[7:0], raw_opcode_in[15:8], raw_opcode_in[23:16], raw_opcode_in[31:24] } : raw_opcode_in;
+ assign \$2 = bigendian ? (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:521" *) { raw_opcode_in[7:0], raw_opcode_in[15:8], raw_opcode_in[23:16], raw_opcode_in[31:24] } : raw_opcode_in;
dec19 dec19 (
.dec19_SV_Etype(dec19_dec19_SV_Etype),
.dec19_SV_Ptype(dec19_dec19_SV_Ptype),
always @* begin
if (\initial ) begin end
form = 5'h00;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h13:
form = dec19_dec19_form;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1e:
form = dec30_dec30_form;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1f:
form = dec31_dec31_form;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h3a:
form = dec58_dec58_form;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h3e:
form = dec62_dec62_form;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h16:
form = dec22_dec22_form;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0c:
form = 5'h04;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0d:
form = 5'h04;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0e:
form = 5'h04;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0f:
form = 5'h04;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h11:
form = 5'h03;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1c:
form = 5'h02;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1d:
form = 5'h02;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h12:
form = 5'h01;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h10:
form = 5'h02;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0b:
form = 5'h04;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0a:
form = 5'h04;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h22:
form = 5'h04;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h23:
form = 5'h04;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h32:
form = 5'h04;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h33:
form = 5'h04;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h30:
form = 5'h04;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h31:
form = 5'h04;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2a:
form = 5'h04;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2b:
form = 5'h04;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h28:
form = 5'h04;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h29:
form = 5'h04;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h20:
form = 5'h04;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h21:
form = 5'h04;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h07:
form = 5'h04;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h18:
form = 5'h04;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h19:
form = 5'h04;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h14:
form = 5'h13;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h15:
form = 5'h13;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h17:
form = 5'h13;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h26:
form = 5'h04;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h27:
form = 5'h04;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h36:
form = 5'h04;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h37:
form = 5'h04;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h34:
form = 5'h04;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h35:
form = 5'h04;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2c:
form = 5'h04;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2d:
form = 5'h04;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h24:
form = 5'h04;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h25:
form = 5'h04;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h08:
form = 5'h04;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h02:
form = 5'h04;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h03:
form = 5'h04;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1a:
form = 5'h04;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1b:
form = 5'h04;
endcase
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (\opcode_switch$1 )
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
32'b000000???????????????0100000000?:
form = 5'h00;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
32'd1610612736:
form = 5'h04;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
32'b000001???????????????0000000011?:
form = 5'h00;
endcase
always @* begin
if (\initial ) begin end
asmcode = 8'h00;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h13:
asmcode = dec19_dec19_asmcode;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1e:
asmcode = dec30_dec30_asmcode;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1f:
asmcode = dec31_dec31_asmcode;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h3a:
asmcode = dec58_dec58_asmcode;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h3e:
asmcode = dec62_dec62_asmcode;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h16:
asmcode = dec22_dec22_asmcode;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0c:
asmcode = 8'h07;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0d:
asmcode = 8'h08;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0e:
asmcode = 8'h06;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0f:
asmcode = 8'h09;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h11:
/* empty */;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1c:
asmcode = 8'h11;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1d:
asmcode = 8'h12;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h12:
asmcode = 8'h14;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h10:
asmcode = 8'h15;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0b:
asmcode = 8'h1d;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0a:
asmcode = 8'h1f;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h22:
asmcode = 8'h64;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h23:
asmcode = 8'h65;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h32:
asmcode = 8'h72;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h33:
asmcode = 8'h74;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h30:
asmcode = 8'h6e;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h31:
asmcode = 8'h70;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2a:
asmcode = 8'h78;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2b:
asmcode = 8'h7a;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h28:
asmcode = 8'h7e;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h29:
asmcode = 8'h7f;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h20:
asmcode = 8'h87;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h21:
asmcode = 8'h89;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h07:
asmcode = 8'ha0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h18:
asmcode = 8'haa;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h19:
asmcode = 8'hab;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h14:
asmcode = 8'hb8;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h15:
asmcode = 8'hb9;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h17:
asmcode = 8'hba;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h26:
asmcode = 8'hc7;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h27:
asmcode = 8'hca;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h36:
asmcode = 8'hd7;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h37:
asmcode = 8'hd9;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h34:
asmcode = 8'hd3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h35:
asmcode = 8'hd5;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2c:
asmcode = 8'hdc;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2d:
asmcode = 8'hdf;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h24:
asmcode = 8'he2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h25:
asmcode = 8'he5;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h08:
asmcode = 8'hed;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h02:
asmcode = 8'hf5;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h03:
asmcode = 8'hf9;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1a:
asmcode = 8'hfb;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1b:
asmcode = 8'hfc;
endcase
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (\opcode_switch$1 )
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
32'b000000???????????????0100000000?:
asmcode = 8'h13;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
32'd1610612736:
asmcode = 8'ha6;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
32'b000001???????????????0000000011?:
asmcode = 8'hbd;
endcase
always @* begin
if (\initial ) begin end
SV_Etype = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h13:
SV_Etype = dec19_dec19_SV_Etype;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1e:
SV_Etype = dec30_dec30_SV_Etype;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1f:
SV_Etype = dec31_dec31_SV_Etype;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h3a:
SV_Etype = dec58_dec58_SV_Etype;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h3e:
SV_Etype = dec62_dec62_SV_Etype;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h16:
SV_Etype = dec22_dec22_SV_Etype;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0c:
SV_Etype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0d:
SV_Etype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0e:
SV_Etype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0f:
SV_Etype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h11:
SV_Etype = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1c:
SV_Etype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1d:
SV_Etype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h12:
SV_Etype = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h10:
SV_Etype = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0b:
SV_Etype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0a:
SV_Etype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h22:
SV_Etype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h23:
SV_Etype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h32:
SV_Etype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h33:
SV_Etype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h30:
SV_Etype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h31:
SV_Etype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2a:
SV_Etype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2b:
SV_Etype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h28:
SV_Etype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h29:
SV_Etype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h20:
SV_Etype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h21:
SV_Etype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h07:
SV_Etype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h18:
SV_Etype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h19:
SV_Etype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h14:
SV_Etype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h15:
SV_Etype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h17:
SV_Etype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h26:
SV_Etype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h27:
SV_Etype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h36:
SV_Etype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h37:
SV_Etype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h34:
SV_Etype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h35:
SV_Etype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2c:
SV_Etype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2d:
SV_Etype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h24:
SV_Etype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h25:
SV_Etype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h08:
SV_Etype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h02:
SV_Etype = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h03:
SV_Etype = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1a:
SV_Etype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1b:
SV_Etype = 2'h2;
endcase
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (\opcode_switch$1 )
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
32'b000000???????????????0100000000?:
SV_Etype = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
32'd1610612736:
SV_Etype = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
32'b000001???????????????0000000011?:
SV_Etype = 2'h0;
endcase
always @* begin
if (\initial ) begin end
SV_Ptype = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h13:
SV_Ptype = dec19_dec19_SV_Ptype;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1e:
SV_Ptype = dec30_dec30_SV_Ptype;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1f:
SV_Ptype = dec31_dec31_SV_Ptype;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h3a:
SV_Ptype = dec58_dec58_SV_Ptype;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h3e:
SV_Ptype = dec62_dec62_SV_Ptype;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h16:
SV_Ptype = dec22_dec22_SV_Ptype;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0c:
SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0d:
SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0e:
SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0f:
SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h11:
SV_Ptype = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1c:
SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1d:
SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h12:
SV_Ptype = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h10:
SV_Ptype = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0b:
SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0a:
SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h22:
SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h23:
SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h32:
SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h33:
SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h30:
SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h31:
SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2a:
SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2b:
SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h28:
SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h29:
SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h20:
SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h21:
SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h07:
SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h18:
SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h19:
SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h14:
SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h15:
SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h17:
SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h26:
SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h27:
SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h36:
SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h37:
SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h34:
SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h35:
SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2c:
SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2d:
SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h24:
SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h25:
SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h08:
SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h02:
SV_Ptype = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h03:
SV_Ptype = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1a:
SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1b:
SV_Ptype = 2'h2;
endcase
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (\opcode_switch$1 )
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
32'b000000???????????????0100000000?:
SV_Ptype = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
32'd1610612736:
SV_Ptype = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
32'b000001???????????????0000000011?:
SV_Ptype = 2'h0;
endcase
always @* begin
if (\initial ) begin end
in1_sel = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h13:
in1_sel = dec19_dec19_in1_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1e:
in1_sel = dec30_dec30_in1_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1f:
in1_sel = dec31_dec31_in1_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h3a:
in1_sel = dec58_dec58_in1_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h3e:
in1_sel = dec62_dec62_in1_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h16:
in1_sel = dec22_dec22_in1_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0c:
in1_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0d:
in1_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0e:
in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0f:
in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h11:
in1_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1c:
in1_sel = 3'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1d:
in1_sel = 3'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h12:
in1_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h10:
in1_sel = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0b:
in1_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0a:
in1_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h22:
in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h23:
in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h32:
in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h33:
in1_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h30:
in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h31:
in1_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2a:
in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2b:
in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h28:
in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h29:
in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h20:
in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h21:
in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h07:
in1_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h18:
in1_sel = 3'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h19:
in1_sel = 3'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h14:
in1_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h15:
in1_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h17:
in1_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h26:
in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h27:
in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h36:
in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h37:
in1_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h34:
in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h35:
in1_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2c:
in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2d:
in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h24:
in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h25:
in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h08:
in1_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h02:
in1_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h03:
in1_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1a:
in1_sel = 3'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1b:
in1_sel = 3'h4;
endcase
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (\opcode_switch$1 )
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
32'b000000???????????????0100000000?:
in1_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
32'd1610612736:
in1_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
32'b000001???????????????0000000011?:
in1_sel = 3'h0;
endcase
always @* begin
if (\initial ) begin end
in2_sel = 4'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h13:
in2_sel = dec19_dec19_in2_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1e:
in2_sel = dec30_dec30_in2_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1f:
in2_sel = dec31_dec31_in2_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h3a:
in2_sel = dec58_dec58_in2_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h3e:
in2_sel = dec62_dec62_in2_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h16:
in2_sel = dec22_dec22_in2_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0c:
in2_sel = 4'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0d:
in2_sel = 4'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0e:
in2_sel = 4'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0f:
in2_sel = 4'h5;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h11:
in2_sel = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1c:
in2_sel = 4'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1d:
in2_sel = 4'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h12:
in2_sel = 4'h6;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h10:
in2_sel = 4'h7;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0b:
in2_sel = 4'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0a:
in2_sel = 4'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h22:
in2_sel = 4'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h23:
in2_sel = 4'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h32:
in2_sel = 4'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h33:
in2_sel = 4'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h30:
in2_sel = 4'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h31:
in2_sel = 4'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2a:
in2_sel = 4'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2b:
in2_sel = 4'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h28:
in2_sel = 4'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h29:
in2_sel = 4'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h20:
in2_sel = 4'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h21:
in2_sel = 4'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h07:
in2_sel = 4'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h18:
in2_sel = 4'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h19:
in2_sel = 4'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h14:
in2_sel = 4'hb;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h15:
in2_sel = 4'hb;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h17:
in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h26:
in2_sel = 4'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h27:
in2_sel = 4'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h36:
in2_sel = 4'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h37:
in2_sel = 4'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h34:
in2_sel = 4'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h35:
in2_sel = 4'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2c:
in2_sel = 4'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2d:
in2_sel = 4'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h24:
in2_sel = 4'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h25:
in2_sel = 4'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h08:
in2_sel = 4'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h02:
in2_sel = 4'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h03:
in2_sel = 4'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1a:
in2_sel = 4'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1b:
in2_sel = 4'h4;
endcase
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (\opcode_switch$1 )
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
32'b000000???????????????0100000000?:
in2_sel = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
32'd1610612736:
in2_sel = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
32'b000001???????????????0000000011?:
in2_sel = 4'h0;
endcase
always @* begin
if (\initial ) begin end
in3_sel = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h13:
in3_sel = dec19_dec19_in3_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1e:
in3_sel = dec30_dec30_in3_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1f:
in3_sel = dec31_dec31_in3_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h3a:
in3_sel = dec58_dec58_in3_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h3e:
in3_sel = dec62_dec62_in3_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h16:
in3_sel = dec22_dec22_in3_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0c:
in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0d:
in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0e:
in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0f:
in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h11:
in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1c:
in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1d:
in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h12:
in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h10:
in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0b:
in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0a:
in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h22:
in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h23:
in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h32:
in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h33:
in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h30:
in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h31:
in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2a:
in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2b:
in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h28:
in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h29:
in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h20:
in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h21:
in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h07:
in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h18:
in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h19:
in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h14:
in3_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h15:
in3_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h17:
in3_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h26:
in3_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h27:
in3_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h36:
in3_sel = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h37:
in3_sel = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h34:
in3_sel = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h35:
in3_sel = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2c:
in3_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2d:
in3_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h24:
in3_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h25:
in3_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h08:
in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h02:
in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h03:
in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1a:
in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1b:
in3_sel = 3'h0;
endcase
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (\opcode_switch$1 )
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
32'b000000???????????????0100000000?:
in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
32'd1610612736:
in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
32'b000001???????????????0000000011?:
in3_sel = 3'h0;
endcase
always @* begin
if (\initial ) begin end
out_sel = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h13:
out_sel = dec19_dec19_out_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1e:
out_sel = dec30_dec30_out_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1f:
out_sel = dec31_dec31_out_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h3a:
out_sel = dec58_dec58_out_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h3e:
out_sel = dec62_dec62_out_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h16:
out_sel = dec22_dec22_out_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0c:
out_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0d:
out_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0e:
out_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0f:
out_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h11:
out_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1c:
out_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1d:
out_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h12:
out_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h10:
out_sel = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0b:
out_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0a:
out_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h22:
out_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h23:
out_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h32:
out_sel = 3'h5;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h33:
out_sel = 3'h5;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h30:
out_sel = 3'h5;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h31:
out_sel = 3'h5;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2a:
out_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2b:
out_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h28:
out_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h29:
out_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h20:
out_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h21:
out_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h07:
out_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h18:
out_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h19:
out_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h14:
out_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h15:
out_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h17:
out_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h26:
out_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h27:
out_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h36:
out_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h37:
out_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h34:
out_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h35:
out_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2c:
out_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2d:
out_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h24:
out_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h25:
out_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h08:
out_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h02:
out_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h03:
out_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1a:
out_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1b:
out_sel = 3'h2;
endcase
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (\opcode_switch$1 )
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
32'b000000???????????????0100000000?:
out_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
32'd1610612736:
out_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
32'b000001???????????????0000000011?:
out_sel = 3'h1;
endcase
always @* begin
if (\initial ) begin end
cr_in = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h13:
cr_in = dec19_dec19_cr_in;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1e:
cr_in = dec30_dec30_cr_in;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1f:
cr_in = dec31_dec31_cr_in;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h3a:
cr_in = dec58_dec58_cr_in;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h3e:
cr_in = dec62_dec62_cr_in;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h16:
cr_in = dec22_dec22_cr_in;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0c:
cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0d:
cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0e:
cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0f:
cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h11:
cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1c:
cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1d:
cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h12:
cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h10:
cr_in = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0b:
cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0a:
cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h22:
cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h23:
cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h32:
cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h33:
cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h30:
cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h31:
cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2a:
cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2b:
cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h28:
cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h29:
cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h20:
cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h21:
cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h07:
cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h18:
cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h19:
cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h14:
cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h15:
cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h17:
cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h26:
cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h27:
cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h36:
cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h37:
cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h34:
cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h35:
cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2c:
cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2d:
cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h24:
cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h25:
cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h08:
cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h02:
cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h03:
cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1a:
cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1b:
cr_in = 3'h0;
endcase
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (\opcode_switch$1 )
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
32'b000000???????????????0100000000?:
cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
32'd1610612736:
cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
32'b000001???????????????0000000011?:
cr_in = 3'h0;
endcase
always @* begin
if (\initial ) begin end
cr_out = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h13:
cr_out = dec19_dec19_cr_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1e:
cr_out = dec30_dec30_cr_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1f:
cr_out = dec31_dec31_cr_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h3a:
cr_out = dec58_dec58_cr_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h3e:
cr_out = dec62_dec62_cr_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h16:
cr_out = dec22_dec22_cr_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0c:
cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0d:
cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0e:
cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0f:
cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h11:
cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1c:
cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1d:
cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h12:
cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h10:
cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0b:
cr_out = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0a:
cr_out = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h22:
cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h23:
cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h32:
cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h33:
cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h30:
cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h31:
cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2a:
cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2b:
cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h28:
cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h29:
cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h20:
cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h21:
cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h07:
cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h18:
cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h19:
cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h14:
cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h15:
cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h17:
cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h26:
cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h27:
cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h36:
cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h37:
cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h34:
cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h35:
cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2c:
cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2d:
cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h24:
cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h25:
cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h08:
cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h02:
cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h03:
cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1a:
cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1b:
cr_out = 3'h0;
endcase
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (\opcode_switch$1 )
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
32'b000000???????????????0100000000?:
cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
32'd1610612736:
cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
32'b000001???????????????0000000011?:
cr_out = 3'h0;
endcase
always @* begin
if (\initial ) begin end
sv_in1 = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h13:
sv_in1 = dec19_dec19_sv_in1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1e:
sv_in1 = dec30_dec30_sv_in1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1f:
sv_in1 = dec31_dec31_sv_in1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h3a:
sv_in1 = dec58_dec58_sv_in1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h3e:
sv_in1 = dec62_dec62_sv_in1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h16:
sv_in1 = dec22_dec22_sv_in1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0c:
sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0d:
sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0e:
sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0f:
sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h11:
sv_in1 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1c:
sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1d:
sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h12:
sv_in1 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h10:
sv_in1 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0b:
sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0a:
sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h22:
sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h23:
sv_in1 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h32:
sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h33:
sv_in1 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h30:
sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h31:
sv_in1 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2a:
sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2b:
sv_in1 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h28:
sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h29:
sv_in1 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h20:
sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h21:
sv_in1 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h07:
sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h18:
sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h19:
sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h14:
sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h15:
sv_in1 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h17:
sv_in1 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h26:
sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h27:
sv_in1 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h36:
sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h37:
sv_in1 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h34:
sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h35:
sv_in1 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2c:
sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2d:
sv_in1 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h24:
sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h25:
sv_in1 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h08:
sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h02:
sv_in1 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h03:
sv_in1 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1a:
sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1b:
sv_in1 = 3'h2;
endcase
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (\opcode_switch$1 )
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
32'b000000???????????????0100000000?:
sv_in1 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
32'd1610612736:
sv_in1 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
32'b000001???????????????0000000011?:
sv_in1 = 3'h0;
endcase
always @* begin
if (\initial ) begin end
sv_in2 = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h13:
sv_in2 = dec19_dec19_sv_in2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1e:
sv_in2 = dec30_dec30_sv_in2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1f:
sv_in2 = dec31_dec31_sv_in2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h3a:
sv_in2 = dec58_dec58_sv_in2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h3e:
sv_in2 = dec62_dec62_sv_in2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h16:
sv_in2 = dec22_dec22_sv_in2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0c:
sv_in2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0d:
sv_in2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0e:
sv_in2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0f:
sv_in2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h11:
sv_in2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1c:
sv_in2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1d:
sv_in2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h12:
sv_in2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h10:
sv_in2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0b:
sv_in2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0a:
sv_in2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h22:
sv_in2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h23:
sv_in2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h32:
sv_in2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h33:
sv_in2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h30:
sv_in2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h31:
sv_in2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2a:
sv_in2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2b:
sv_in2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h28:
sv_in2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h29:
sv_in2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h20:
sv_in2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h21:
sv_in2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h07:
sv_in2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h18:
sv_in2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h19:
sv_in2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h14:
sv_in2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h15:
sv_in2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h17:
sv_in2 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h26:
sv_in2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h27:
sv_in2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h36:
sv_in2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h37:
sv_in2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h34:
sv_in2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h35:
sv_in2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2c:
sv_in2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2d:
sv_in2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h24:
sv_in2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h25:
sv_in2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h08:
sv_in2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h02:
sv_in2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h03:
sv_in2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1a:
sv_in2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1b:
sv_in2 = 3'h0;
endcase
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (\opcode_switch$1 )
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
32'b000000???????????????0100000000?:
sv_in2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
32'd1610612736:
sv_in2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
32'b000001???????????????0000000011?:
sv_in2 = 3'h0;
endcase
always @* begin
if (\initial ) begin end
sv_in3 = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h13:
sv_in3 = dec19_dec19_sv_in3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1e:
sv_in3 = dec30_dec30_sv_in3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1f:
sv_in3 = dec31_dec31_sv_in3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h3a:
sv_in3 = dec58_dec58_sv_in3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h3e:
sv_in3 = dec62_dec62_sv_in3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h16:
sv_in3 = dec22_dec22_sv_in3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0c:
sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0d:
sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0e:
sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0f:
sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h11:
sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1c:
sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1d:
sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h12:
sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h10:
sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0b:
sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0a:
sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h22:
sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h23:
sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h32:
sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h33:
sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h30:
sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h31:
sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2a:
sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2b:
sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h28:
sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h29:
sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h20:
sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h21:
sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h07:
sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h18:
sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h19:
sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h14:
sv_in3 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h15:
sv_in3 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h17:
sv_in3 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h26:
sv_in3 = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h27:
sv_in3 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h36:
sv_in3 = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h37:
sv_in3 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h34:
sv_in3 = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h35:
sv_in3 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2c:
sv_in3 = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2d:
sv_in3 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h24:
sv_in3 = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h25:
sv_in3 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h08:
sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h02:
sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h03:
sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1a:
sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1b:
sv_in3 = 3'h0;
endcase
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (\opcode_switch$1 )
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
32'b000000???????????????0100000000?:
sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
32'd1610612736:
sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
32'b000001???????????????0000000011?:
sv_in3 = 3'h0;
endcase
always @* begin
if (\initial ) begin end
sv_out = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h13:
sv_out = dec19_dec19_sv_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1e:
sv_out = dec30_dec30_sv_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1f:
sv_out = dec31_dec31_sv_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h3a:
sv_out = dec58_dec58_sv_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h3e:
sv_out = dec62_dec62_sv_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h16:
sv_out = dec22_dec22_sv_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0c:
sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0d:
sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0e:
sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0f:
sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h11:
sv_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1c:
sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1d:
sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h12:
sv_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h10:
sv_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0b:
sv_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0a:
sv_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h22:
sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h23:
sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h32:
sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h33:
sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h30:
sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h31:
sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2a:
sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2b:
sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h28:
sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h29:
sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h20:
sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h21:
sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h07:
sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h18:
sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h19:
sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h14:
sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h15:
sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h17:
sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h26:
sv_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h27:
sv_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h36:
sv_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h37:
sv_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h34:
sv_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h35:
sv_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2c:
sv_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2d:
sv_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h24:
sv_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h25:
sv_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h08:
sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h02:
sv_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h03:
sv_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1a:
sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1b:
sv_out = 3'h1;
endcase
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (\opcode_switch$1 )
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
32'b000000???????????????0100000000?:
sv_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
32'd1610612736:
sv_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
32'b000001???????????????0000000011?:
sv_out = 3'h0;
endcase
always @* begin
if (\initial ) begin end
sv_out2 = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h13:
sv_out2 = dec19_dec19_sv_out2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1e:
sv_out2 = dec30_dec30_sv_out2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1f:
sv_out2 = dec31_dec31_sv_out2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h3a:
sv_out2 = dec58_dec58_sv_out2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h3e:
sv_out2 = dec62_dec62_sv_out2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h16:
sv_out2 = dec22_dec22_sv_out2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0c:
sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0d:
sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0e:
sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0f:
sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h11:
sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1c:
sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1d:
sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h12:
sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h10:
sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0b:
sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0a:
sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h22:
sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h23:
sv_out2 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h32:
sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h33:
sv_out2 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h30:
sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h31:
sv_out2 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2a:
sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2b:
sv_out2 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h28:
sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h29:
sv_out2 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h20:
sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h21:
sv_out2 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h07:
sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h18:
sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h19:
sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h14:
sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h15:
sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h17:
sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h26:
sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h27:
sv_out2 = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h36:
sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h37:
sv_out2 = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h34:
sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h35:
sv_out2 = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2c:
sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2d:
sv_out2 = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h24:
sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h25:
sv_out2 = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h08:
sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h02:
sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h03:
sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1a:
sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1b:
sv_out2 = 3'h0;
endcase
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (\opcode_switch$1 )
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
32'b000000???????????????0100000000?:
sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
32'd1610612736:
sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
32'b000001???????????????0000000011?:
sv_out2 = 3'h0;
endcase
always @* begin
if (\initial ) begin end
sv_cr_in = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h13:
sv_cr_in = dec19_dec19_sv_cr_in;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1e:
sv_cr_in = dec30_dec30_sv_cr_in;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1f:
sv_cr_in = dec31_dec31_sv_cr_in;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h3a:
sv_cr_in = dec58_dec58_sv_cr_in;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h3e:
sv_cr_in = dec62_dec62_sv_cr_in;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h16:
sv_cr_in = dec22_dec22_sv_cr_in;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0c:
sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0d:
sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0e:
sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0f:
sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h11:
sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1c:
sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1d:
sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h12:
sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h10:
sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0b:
sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0a:
sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h22:
sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h23:
sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h32:
sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h33:
sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h30:
sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h31:
sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2a:
sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2b:
sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h28:
sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h29:
sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h20:
sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h21:
sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h07:
sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h18:
sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h19:
sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h14:
sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h15:
sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h17:
sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h26:
sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h27:
sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h36:
sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h37:
sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h34:
sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h35:
sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2c:
sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2d:
sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h24:
sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h25:
sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h08:
sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h02:
sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h03:
sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1a:
sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1b:
sv_cr_in = 3'h0;
endcase
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (\opcode_switch$1 )
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
32'b000000???????????????0100000000?:
sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
32'd1610612736:
sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
32'b000001???????????????0000000011?:
sv_cr_in = 3'h0;
endcase
always @* begin
if (\initial ) begin end
sv_cr_out = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h13:
sv_cr_out = dec19_dec19_sv_cr_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1e:
sv_cr_out = dec30_dec30_sv_cr_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1f:
sv_cr_out = dec31_dec31_sv_cr_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h3a:
sv_cr_out = dec58_dec58_sv_cr_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h3e:
sv_cr_out = dec62_dec62_sv_cr_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h16:
sv_cr_out = dec22_dec22_sv_cr_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0c:
sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0d:
sv_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0e:
sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0f:
sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h11:
sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1c:
sv_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1d:
sv_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h12:
sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h10:
sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0b:
sv_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0a:
sv_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h22:
sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h23:
sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h32:
sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h33:
sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h30:
sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h31:
sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2a:
sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2b:
sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h28:
sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h29:
sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h20:
sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h21:
sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h07:
sv_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h18:
sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h19:
sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h14:
sv_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h15:
sv_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h17:
sv_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h26:
sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h27:
sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h36:
sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h37:
sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h34:
sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h35:
sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2c:
sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2d:
sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h24:
sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h25:
sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h08:
sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h02:
sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h03:
sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1a:
sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1b:
sv_cr_out = 3'h0;
endcase
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (\opcode_switch$1 )
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
32'b000000???????????????0100000000?:
sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
32'd1610612736:
sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
32'b000001???????????????0000000011?:
sv_cr_out = 3'h0;
endcase
always @* begin
if (\initial ) begin end
ldst_len = 4'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h13:
ldst_len = dec19_dec19_ldst_len;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1e:
ldst_len = dec30_dec30_ldst_len;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1f:
ldst_len = dec31_dec31_ldst_len;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h3a:
ldst_len = dec58_dec58_ldst_len;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h3e:
ldst_len = dec62_dec62_ldst_len;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h16:
ldst_len = dec22_dec22_ldst_len;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0c:
ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0d:
ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0e:
ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0f:
ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h11:
ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1c:
ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1d:
ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h12:
ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h10:
ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0b:
ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0a:
ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h22:
ldst_len = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h23:
ldst_len = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h32:
ldst_len = 4'h8;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h33:
ldst_len = 4'h8;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h30:
ldst_len = 4'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h31:
ldst_len = 4'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2a:
ldst_len = 4'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2b:
ldst_len = 4'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h28:
ldst_len = 4'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h29:
ldst_len = 4'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h20:
ldst_len = 4'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h21:
ldst_len = 4'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h07:
ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h18:
ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h19:
ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h14:
ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h15:
ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h17:
ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h26:
ldst_len = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h27:
ldst_len = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h36:
ldst_len = 4'h8;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h37:
ldst_len = 4'h8;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h34:
ldst_len = 4'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h35:
ldst_len = 4'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2c:
ldst_len = 4'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2d:
ldst_len = 4'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h24:
ldst_len = 4'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h25:
ldst_len = 4'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h08:
ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h02:
ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h03:
ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1a:
ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1b:
ldst_len = 4'h0;
endcase
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (\opcode_switch$1 )
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
32'b000000???????????????0100000000?:
ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
32'd1610612736:
ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
32'b000001???????????????0000000011?:
ldst_len = 4'h0;
endcase
always @* begin
if (\initial ) begin end
upd = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h13:
upd = dec19_dec19_upd;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1e:
upd = dec30_dec30_upd;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1f:
upd = dec31_dec31_upd;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h3a:
upd = dec58_dec58_upd;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h3e:
upd = dec62_dec62_upd;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h16:
upd = dec22_dec22_upd;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0c:
upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0d:
upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0e:
upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0f:
upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h11:
upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1c:
upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1d:
upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h12:
upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h10:
upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0b:
upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0a:
upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h22:
upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h23:
upd = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h32:
upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h33:
upd = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h30:
upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h31:
upd = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2a:
upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2b:
upd = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h28:
upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h29:
upd = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h20:
upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h21:
upd = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h07:
upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h18:
upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h19:
upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h14:
upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h15:
upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h17:
upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h26:
upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h27:
upd = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h36:
upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h37:
upd = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h34:
upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h35:
upd = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2c:
upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2d:
upd = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h24:
upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h25:
upd = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h08:
upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h02:
upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h03:
upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1a:
upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1b:
upd = 2'h0;
endcase
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (\opcode_switch$1 )
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
32'b000000???????????????0100000000?:
upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
32'd1610612736:
upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
32'b000001???????????????0000000011?:
upd = 2'h0;
endcase
always @* begin
if (\initial ) begin end
rc_sel = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h13:
rc_sel = dec19_dec19_rc_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1e:
rc_sel = dec30_dec30_rc_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1f:
rc_sel = dec31_dec31_rc_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h3a:
rc_sel = dec58_dec58_rc_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h3e:
rc_sel = dec62_dec62_rc_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h16:
rc_sel = dec22_dec22_rc_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0c:
rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0d:
rc_sel = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0e:
rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0f:
rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h11:
rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1c:
rc_sel = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1d:
rc_sel = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h12:
rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h10:
rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0b:
rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0a:
rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h22:
rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h23:
rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h32:
rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h33:
rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h30:
rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h31:
rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2a:
rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2b:
rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h28:
rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h29:
rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h20:
rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h21:
rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h07:
rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h18:
rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h19:
rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h14:
rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h15:
rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h17:
rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h26:
rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h27:
rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h36:
rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h37:
rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h34:
rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h35:
rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2c:
rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2d:
rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h24:
rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h25:
rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h08:
rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h02:
rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h03:
rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1a:
rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1b:
rc_sel = 2'h0;
endcase
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (\opcode_switch$1 )
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
32'b000000???????????????0100000000?:
rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
32'd1610612736:
rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
32'b000001???????????????0000000011?:
rc_sel = 2'h0;
endcase
always @* begin
if (\initial ) begin end
cry_in = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h13:
cry_in = dec19_dec19_cry_in;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1e:
cry_in = dec30_dec30_cry_in;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1f:
cry_in = dec31_dec31_cry_in;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h3a:
cry_in = dec58_dec58_cry_in;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h3e:
cry_in = dec62_dec62_cry_in;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h16:
cry_in = dec22_dec22_cry_in;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0c:
cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0d:
cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0e:
cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0f:
cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h11:
cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1c:
cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1d:
cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h12:
cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h10:
cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0b:
cry_in = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0a:
cry_in = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h22:
cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h23:
cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h32:
cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h33:
cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h30:
cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h31:
cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2a:
cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2b:
cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h28:
cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h29:
cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h20:
cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h21:
cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h07:
cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h18:
cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h19:
cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h14:
cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h15:
cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h17:
cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h26:
cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h27:
cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h36:
cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h37:
cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h34:
cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h35:
cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2c:
cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2d:
cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h24:
cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h25:
cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h08:
cry_in = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h02:
cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h03:
cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1a:
cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1b:
cry_in = 2'h0;
endcase
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (\opcode_switch$1 )
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
32'b000000???????????????0100000000?:
cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
32'd1610612736:
cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
32'b000001???????????????0000000011?:
cry_in = 2'h0;
endcase
always @* begin
if (\initial ) begin end
inv_a = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h13:
inv_a = dec19_dec19_inv_a;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1e:
inv_a = dec30_dec30_inv_a;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1f:
inv_a = dec31_dec31_inv_a;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h3a:
inv_a = dec58_dec58_inv_a;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h3e:
inv_a = dec62_dec62_inv_a;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h16:
inv_a = dec22_dec22_inv_a;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0c:
inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0d:
inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0e:
inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0f:
inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h11:
inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1c:
inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1d:
inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h12:
inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h10:
inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0b:
inv_a = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0a:
inv_a = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h22:
inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h23:
inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h32:
inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h33:
inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h30:
inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h31:
inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2a:
inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2b:
inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h28:
inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h29:
inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h20:
inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h21:
inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h07:
inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h18:
inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h19:
inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h14:
inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h15:
inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h17:
inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h26:
inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h27:
inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h36:
inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h37:
inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h34:
inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h35:
inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2c:
inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2d:
inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h24:
inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h25:
inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h08:
inv_a = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h02:
inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h03:
inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1a:
inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1b:
inv_a = 1'h0;
endcase
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (\opcode_switch$1 )
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
32'b000000???????????????0100000000?:
inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
32'd1610612736:
inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
32'b000001???????????????0000000011?:
inv_a = 1'h0;
endcase
always @* begin
if (\initial ) begin end
inv_out = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h13:
inv_out = dec19_dec19_inv_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1e:
inv_out = dec30_dec30_inv_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1f:
inv_out = dec31_dec31_inv_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h3a:
inv_out = dec58_dec58_inv_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h3e:
inv_out = dec62_dec62_inv_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h16:
inv_out = dec22_dec22_inv_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0c:
inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0d:
inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0e:
inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0f:
inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h11:
inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1c:
inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1d:
inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h12:
inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h10:
inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0b:
inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0a:
inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h22:
inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h23:
inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h32:
inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h33:
inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h30:
inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h31:
inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2a:
inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2b:
inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h28:
inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h29:
inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h20:
inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h21:
inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h07:
inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h18:
inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h19:
inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h14:
inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h15:
inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h17:
inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h26:
inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h27:
inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h36:
inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h37:
inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h34:
inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h35:
inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2c:
inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2d:
inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h24:
inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h25:
inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h08:
inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h02:
inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h03:
inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1a:
inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1b:
inv_out = 1'h0;
endcase
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (\opcode_switch$1 )
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
32'b000000???????????????0100000000?:
inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
32'd1610612736:
inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
32'b000001???????????????0000000011?:
inv_out = 1'h0;
endcase
always @* begin
if (\initial ) begin end
cry_out = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h13:
cry_out = dec19_dec19_cry_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1e:
cry_out = dec30_dec30_cry_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1f:
cry_out = dec31_dec31_cry_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h3a:
cry_out = dec58_dec58_cry_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h3e:
cry_out = dec62_dec62_cry_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h16:
cry_out = dec22_dec22_cry_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0c:
cry_out = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0d:
cry_out = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0e:
cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0f:
cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h11:
cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1c:
cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1d:
cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h12:
cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h10:
cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0b:
cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0a:
cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h22:
cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h23:
cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h32:
cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h33:
cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h30:
cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h31:
cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2a:
cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2b:
cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h28:
cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h29:
cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h20:
cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h21:
cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h07:
cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h18:
cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h19:
cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h14:
cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h15:
cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h17:
cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h26:
cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h27:
cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h36:
cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h37:
cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h34:
cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h35:
cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2c:
cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2d:
cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h24:
cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h25:
cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h08:
cry_out = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h02:
cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h03:
cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1a:
cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1b:
cry_out = 1'h0;
endcase
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (\opcode_switch$1 )
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
32'b000000???????????????0100000000?:
cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
32'd1610612736:
cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
32'b000001???????????????0000000011?:
cry_out = 1'h0;
endcase
always @* begin
if (\initial ) begin end
br = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h13:
br = dec19_dec19_br;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1e:
br = dec30_dec30_br;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1f:
br = dec31_dec31_br;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h3a:
br = dec58_dec58_br;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h3e:
br = dec62_dec62_br;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h16:
br = dec22_dec22_br;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0c:
br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0d:
br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0e:
br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0f:
br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h11:
br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1c:
br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1d:
br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h12:
br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h10:
br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0b:
br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0a:
br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h22:
br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h23:
br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h32:
br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h33:
br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h30:
br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h31:
br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2a:
br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2b:
br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h28:
br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h29:
br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h20:
br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h21:
br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h07:
br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h18:
br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h19:
br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h14:
br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h15:
br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h17:
br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h26:
br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h27:
br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h36:
br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h37:
br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h34:
br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h35:
br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2c:
br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2d:
br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h24:
br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h25:
br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h08:
br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h02:
br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h03:
br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1a:
br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1b:
br = 1'h0;
endcase
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (\opcode_switch$1 )
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
32'b000000???????????????0100000000?:
br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
32'd1610612736:
br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
32'b000001???????????????0000000011?:
br = 1'h0;
endcase
always @* begin
if (\initial ) begin end
sgn_ext = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h13:
sgn_ext = dec19_dec19_sgn_ext;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1e:
sgn_ext = dec30_dec30_sgn_ext;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1f:
sgn_ext = dec31_dec31_sgn_ext;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h3a:
sgn_ext = dec58_dec58_sgn_ext;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h3e:
sgn_ext = dec62_dec62_sgn_ext;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h16:
sgn_ext = dec22_dec22_sgn_ext;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0c:
sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0d:
sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0e:
sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0f:
sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h11:
sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1c:
sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1d:
sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h12:
sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h10:
sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0b:
sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0a:
sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h22:
sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h23:
sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h32:
sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h33:
sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h30:
sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h31:
sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2a:
sgn_ext = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2b:
sgn_ext = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h28:
sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h29:
sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h20:
sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h21:
sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h07:
sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h18:
sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h19:
sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h14:
sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h15:
sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h17:
sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h26:
sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h27:
sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h36:
sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h37:
sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h34:
sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h35:
sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2c:
sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2d:
sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h24:
sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h25:
sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h08:
sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h02:
sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h03:
sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1a:
sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1b:
sgn_ext = 1'h0;
endcase
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (\opcode_switch$1 )
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
32'b000000???????????????0100000000?:
sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
32'd1610612736:
sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
32'b000001???????????????0000000011?:
sgn_ext = 1'h0;
endcase
always @* begin
if (\initial ) begin end
rsrv = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h13:
rsrv = dec19_dec19_rsrv;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1e:
rsrv = dec30_dec30_rsrv;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1f:
rsrv = dec31_dec31_rsrv;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h3a:
rsrv = dec58_dec58_rsrv;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h3e:
rsrv = dec62_dec62_rsrv;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h16:
rsrv = dec22_dec22_rsrv;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0c:
rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0d:
rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0e:
rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0f:
rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h11:
rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1c:
rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1d:
rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h12:
rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h10:
rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0b:
rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0a:
rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h22:
rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h23:
rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h32:
rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h33:
rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h30:
rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h31:
rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2a:
rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2b:
rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h28:
rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h29:
rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h20:
rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h21:
rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h07:
rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h18:
rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h19:
rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h14:
rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h15:
rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h17:
rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h26:
rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h27:
rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h36:
rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h37:
rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h34:
rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h35:
rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2c:
rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2d:
rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h24:
rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h25:
rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h08:
rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h02:
rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h03:
rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1a:
rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1b:
rsrv = 1'h0;
endcase
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (\opcode_switch$1 )
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
32'b000000???????????????0100000000?:
rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
32'd1610612736:
rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
32'b000001???????????????0000000011?:
rsrv = 1'h0;
endcase
always @* begin
if (\initial ) begin end
is_32b = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h13:
is_32b = dec19_dec19_is_32b;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1e:
is_32b = dec30_dec30_is_32b;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1f:
is_32b = dec31_dec31_is_32b;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h3a:
is_32b = dec58_dec58_is_32b;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h3e:
is_32b = dec62_dec62_is_32b;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h16:
is_32b = dec22_dec22_is_32b;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0c:
is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0d:
is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0e:
is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0f:
is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h11:
is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1c:
is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1d:
is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h12:
is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h10:
is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0b:
is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0a:
is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h22:
is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h23:
is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h32:
is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h33:
is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h30:
is_32b = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h31:
is_32b = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2a:
is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2b:
is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h28:
is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h29:
is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h20:
is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h21:
is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h07:
is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h18:
is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h19:
is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h14:
is_32b = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h15:
is_32b = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h17:
is_32b = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h26:
is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h27:
is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h36:
is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h37:
is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h34:
is_32b = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h35:
is_32b = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2c:
is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2d:
is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h24:
is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h25:
is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h08:
is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h02:
is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h03:
is_32b = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1a:
is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1b:
is_32b = 1'h0;
endcase
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (\opcode_switch$1 )
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
32'b000000???????????????0100000000?:
is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
32'd1610612736:
is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
32'b000001???????????????0000000011?:
is_32b = 1'h0;
endcase
always @* begin
if (\initial ) begin end
sgn = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h13:
sgn = dec19_dec19_sgn;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1e:
sgn = dec30_dec30_sgn;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1f:
sgn = dec31_dec31_sgn;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h3a:
sgn = dec58_dec58_sgn;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h3e:
sgn = dec62_dec62_sgn;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h16:
sgn = dec22_dec22_sgn;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0c:
sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0d:
sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0e:
sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0f:
sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h11:
sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1c:
sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1d:
sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h12:
sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h10:
sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0b:
sgn = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0a:
sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h22:
sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h23:
sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h32:
sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h33:
sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h30:
sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h31:
sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2a:
sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2b:
sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h28:
sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h29:
sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h20:
sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h21:
sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h07:
sgn = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h18:
sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h19:
sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h14:
sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h15:
sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h17:
sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h26:
sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h27:
sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h36:
sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h37:
sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h34:
sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h35:
sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2c:
sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2d:
sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h24:
sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h25:
sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h08:
sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h02:
sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h03:
sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1a:
sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1b:
sgn = 1'h0;
endcase
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (\opcode_switch$1 )
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
32'b000000???????????????0100000000?:
sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
32'd1610612736:
sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
32'b000001???????????????0000000011?:
sgn = 1'h0;
endcase
always @* begin
if (\initial ) begin end
lk = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h13:
lk = dec19_dec19_lk;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1e:
lk = dec30_dec30_lk;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1f:
lk = dec31_dec31_lk;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h3a:
lk = dec58_dec58_lk;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h3e:
lk = dec62_dec62_lk;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h16:
lk = dec22_dec22_lk;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0c:
lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0d:
lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0e:
lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0f:
lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h11:
lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1c:
lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1d:
lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h12:
lk = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h10:
lk = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0b:
lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0a:
lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h22:
lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h23:
lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h32:
lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h33:
lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h30:
lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h31:
lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2a:
lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2b:
lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h28:
lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h29:
lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h20:
lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h21:
lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h07:
lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h18:
lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h19:
lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h14:
lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h15:
lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h17:
lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h26:
lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h27:
lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h36:
lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h37:
lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h34:
lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h35:
lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2c:
lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2d:
lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h24:
lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h25:
lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h08:
lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h02:
lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h03:
lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1a:
lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1b:
lk = 1'h0;
endcase
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (\opcode_switch$1 )
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
32'b000000???????????????0100000000?:
lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
32'd1610612736:
lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
32'b000001???????????????0000000011?:
lk = 1'h0;
endcase
always @* begin
if (\initial ) begin end
sgl_pipe = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h13:
sgl_pipe = dec19_dec19_sgl_pipe;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1e:
sgl_pipe = dec30_dec30_sgl_pipe;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1f:
sgl_pipe = dec31_dec31_sgl_pipe;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h3a:
sgl_pipe = dec58_dec58_sgl_pipe;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h3e:
sgl_pipe = dec62_dec62_sgl_pipe;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h16:
sgl_pipe = dec22_dec22_sgl_pipe;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0c:
sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0d:
sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0e:
sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0f:
sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h11:
sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1c:
sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1d:
sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h12:
sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h10:
sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0b:
sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0a:
sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h22:
sgl_pipe = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h23:
sgl_pipe = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h32:
sgl_pipe = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h33:
sgl_pipe = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h30:
sgl_pipe = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h31:
sgl_pipe = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2a:
sgl_pipe = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2b:
sgl_pipe = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h28:
sgl_pipe = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h29:
sgl_pipe = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h20:
sgl_pipe = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h21:
sgl_pipe = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h07:
sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h18:
sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h19:
sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h14:
sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h15:
sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h17:
sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h26:
sgl_pipe = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h27:
sgl_pipe = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h36:
sgl_pipe = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h37:
sgl_pipe = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h34:
sgl_pipe = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h35:
sgl_pipe = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2c:
sgl_pipe = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2d:
sgl_pipe = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h24:
sgl_pipe = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h25:
sgl_pipe = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h08:
sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h02:
sgl_pipe = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h03:
sgl_pipe = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1a:
sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1b:
sgl_pipe = 1'h0;
endcase
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (\opcode_switch$1 )
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
32'b000000???????????????0100000000?:
sgl_pipe = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
32'd1610612736:
sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
32'b000001???????????????0000000011?:
sgl_pipe = 1'h1;
endcase
always @* begin
if (\initial ) begin end
function_unit = 15'h0000;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h13:
function_unit = dec19_dec19_function_unit;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1e:
function_unit = dec30_dec30_function_unit;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1f:
function_unit = dec31_dec31_function_unit;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h3a:
function_unit = dec58_dec58_function_unit;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h3e:
function_unit = dec62_dec62_function_unit;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h16:
function_unit = dec22_dec22_function_unit;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0c:
function_unit = 15'h0002;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0d:
function_unit = 15'h0002;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0e:
function_unit = 15'h0002;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0f:
function_unit = 15'h0002;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h11:
function_unit = 15'h0080;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1c:
function_unit = 15'h0010;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1d:
function_unit = 15'h0010;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h12:
function_unit = 15'h0020;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h10:
function_unit = 15'h0020;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0b:
function_unit = 15'h0002;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0a:
function_unit = 15'h0002;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h22:
function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h23:
function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h32:
function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h33:
function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h30:
function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h31:
function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2a:
function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2b:
function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h28:
function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h29:
function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h20:
function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h21:
function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h07:
function_unit = 15'h0100;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h18:
function_unit = 15'h0010;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h19:
function_unit = 15'h0010;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h14:
function_unit = 15'h0008;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h15:
function_unit = 15'h0008;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h17:
function_unit = 15'h0008;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h26:
function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h27:
function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h36:
function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h37:
function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h34:
function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h35:
function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2c:
function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2d:
function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h24:
function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h25:
function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h08:
function_unit = 15'h0002;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h02:
function_unit = 15'h0080;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h03:
function_unit = 15'h0080;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1a:
function_unit = 15'h0010;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1b:
function_unit = 15'h0010;
endcase
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (\opcode_switch$1 )
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
32'b000000???????????????0100000000?:
function_unit = 15'h0000;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
32'd1610612736:
function_unit = 15'h0000;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
32'b000001???????????????0000000011?:
function_unit = 15'h0000;
endcase
always @* begin
if (\initial ) begin end
internal_op = 7'h00;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h13:
internal_op = dec19_dec19_internal_op;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1e:
internal_op = dec30_dec30_internal_op;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1f:
internal_op = dec31_dec31_internal_op;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h3a:
internal_op = dec58_dec58_internal_op;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h3e:
internal_op = dec62_dec62_internal_op;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h16:
internal_op = dec22_dec22_internal_op;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0c:
internal_op = 7'h02;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0d:
internal_op = 7'h02;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0e:
internal_op = 7'h02;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0f:
internal_op = 7'h02;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h11:
internal_op = 7'h49;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1c:
internal_op = 7'h04;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1d:
internal_op = 7'h04;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h12:
internal_op = 7'h06;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h10:
internal_op = 7'h07;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0b:
internal_op = 7'h0a;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h0a:
internal_op = 7'h0a;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h22:
internal_op = 7'h25;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h23:
internal_op = 7'h25;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h32:
internal_op = 7'h25;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h33:
internal_op = 7'h25;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h30:
internal_op = 7'h25;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h31:
internal_op = 7'h25;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2a:
internal_op = 7'h25;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2b:
internal_op = 7'h25;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h28:
internal_op = 7'h25;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h29:
internal_op = 7'h25;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h20:
internal_op = 7'h25;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h21:
internal_op = 7'h25;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h07:
internal_op = 7'h32;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h18:
internal_op = 7'h35;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h19:
internal_op = 7'h35;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h14:
internal_op = 7'h38;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h15:
internal_op = 7'h38;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h17:
internal_op = 7'h38;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h26:
internal_op = 7'h26;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h27:
internal_op = 7'h26;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h36:
internal_op = 7'h26;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h37:
internal_op = 7'h26;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h34:
internal_op = 7'h26;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h35:
internal_op = 7'h26;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2c:
internal_op = 7'h26;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h2d:
internal_op = 7'h26;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h24:
internal_op = 7'h26;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h25:
internal_op = 7'h26;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h08:
internal_op = 7'h02;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h02:
internal_op = 7'h3f;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h03:
internal_op = 7'h3f;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1a:
internal_op = 7'h43;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
6'h1b:
internal_op = 7'h43;
endcase
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (\opcode_switch$1 )
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
32'b000000???????????????0100000000?:
internal_op = 7'h05;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
32'd1610612736:
internal_op = 7'h01;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
32'b000001???????????????0000000011?:
internal_op = 7'h44;
endcase
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "EXTRA2" *)
(* enum_value_10 = "EXTRA3" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] dec19_SV_Etype;
reg [1:0] dec19_SV_Etype;
(* enum_base_type = "SVPtype" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "P1" *)
(* enum_value_10 = "P2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] dec19_SV_Ptype;
reg [1:0] dec19_SV_Ptype;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [7:0] dec19_asmcode;
reg [7:0] dec19_asmcode;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec19_br;
reg dec19_br;
(* enum_base_type = "CRInSel" *)
(* enum_value_101 = "BC" *)
(* enum_value_110 = "WHOLE_REG" *)
(* enum_value_111 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec19_cr_in;
reg [2:0] dec19_cr_in;
(* enum_base_type = "CROutSel" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec19_cr_out;
reg [2:0] dec19_cr_out;
(* enum_base_type = "CryIn" *)
(* enum_value_00 = "ZERO" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "CA" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] dec19_cry_in;
reg [1:0] dec19_cry_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec19_cry_out;
reg dec19_cry_out;
(* enum_base_type = "Form" *)
(* enum_value_11011 = "Z22" *)
(* enum_value_11100 = "Z23" *)
(* enum_value_11101 = "SVL" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [4:0] dec19_form;
reg [4:0] dec19_form;
(* enum_base_type = "Function" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [14:0] dec19_function_unit;
reg [14:0] dec19_function_unit;
(* enum_base_type = "In1Sel" *)
(* enum_value_100 = "RS" *)
(* enum_value_101 = "FRA" *)
(* enum_value_110 = "FRS" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec19_in1_sel;
reg [2:0] dec19_in1_sel;
(* enum_base_type = "In2Sel" *)
(* enum_value_1100 = "SPR" *)
(* enum_value_1101 = "RS" *)
(* enum_value_1110 = "FRB" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [3:0] dec19_in2_sel;
reg [3:0] dec19_in2_sel;
(* enum_base_type = "In3Sel" *)
(* enum_value_010 = "RB" *)
(* enum_value_011 = "FRS" *)
(* enum_value_100 = "FRC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec19_in3_sel;
reg [2:0] dec19_in3_sel;
(* enum_base_type = "MicrOp" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [6:0] dec19_internal_op;
reg [6:0] dec19_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec19_inv_a;
reg dec19_inv_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec19_inv_out;
reg dec19_inv_out;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec19_is_32b;
reg dec19_is_32b;
(* enum_base_type = "LdstLen" *)
(* enum_value_0010 = "is2B" *)
(* enum_value_0100 = "is4B" *)
(* enum_value_1000 = "is8B" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [3:0] dec19_ldst_len;
reg [3:0] dec19_ldst_len;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec19_lk;
reg dec19_lk;
(* enum_base_type = "OutSel" *)
(* enum_value_011 = "SPR" *)
(* enum_value_100 = "RT_OR_ZERO" *)
(* enum_value_101 = "FRT" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec19_out_sel;
reg [2:0] dec19_out_sel;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] dec19_rc_sel;
reg [1:0] dec19_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec19_rsrv;
reg dec19_rsrv;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec19_sgl_pipe;
reg dec19_sgl_pipe;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec19_sgn;
reg dec19_sgn;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec19_sgn_ext;
reg dec19_sgn_ext;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec19_sv_cr_in;
reg [2:0] dec19_sv_cr_in;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec19_sv_cr_out;
reg [2:0] dec19_sv_cr_out;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec19_sv_in1;
reg [2:0] dec19_sv_in1;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec19_sv_in2;
reg [2:0] dec19_sv_in2;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec19_sv_in3;
reg [2:0] dec19_sv_in3;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec19_sv_out;
reg [2:0] dec19_sv_out;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec19_sv_out2;
reg [2:0] dec19_sv_out2;
(* enum_base_type = "LDSTMode" *)
(* enum_value_01 = "update" *)
(* enum_value_10 = "cix" *)
(* enum_value_11 = "cx" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] dec19_upd;
reg [1:0] dec19_upd;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
input [31:0] opcode_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
wire [9:0] opcode_switch;
always @* begin
if (\initial ) begin end
dec19_function_unit = 15'h0000;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h000:
dec19_function_unit = 15'h0040;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h101:
dec19_function_unit = 15'h0040;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h081:
dec19_function_unit = 15'h0040;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h121:
dec19_function_unit = 15'h0040;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h0e1:
dec19_function_unit = 15'h0040;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h021:
dec19_function_unit = 15'h0040;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h1c1:
dec19_function_unit = 15'h0040;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h1a1:
dec19_function_unit = 15'h0040;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h0c1:
dec19_function_unit = 15'h0040;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h210:
dec19_function_unit = 15'h0020;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h010:
dec19_function_unit = 15'h0020;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h230:
dec19_function_unit = 15'h0020;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h096:
dec19_function_unit = 15'h0002;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h012:
dec19_function_unit = 15'h0080;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h112:
dec19_function_unit = 15'h0080;
endcase
always @* begin
if (\initial ) begin end
dec19_cr_in = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h000:
dec19_cr_in = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h101:
dec19_cr_in = 3'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h081:
dec19_cr_in = 3'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h121:
dec19_cr_in = 3'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h0e1:
dec19_cr_in = 3'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h021:
dec19_cr_in = 3'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h1c1:
dec19_cr_in = 3'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h1a1:
dec19_cr_in = 3'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h0c1:
dec19_cr_in = 3'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h210:
dec19_cr_in = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h010:
dec19_cr_in = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h230:
dec19_cr_in = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h096:
dec19_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h012:
dec19_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h112:
dec19_cr_in = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec19_cr_out = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h000:
dec19_cr_out = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h101:
dec19_cr_out = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h081:
dec19_cr_out = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h121:
dec19_cr_out = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h0e1:
dec19_cr_out = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h021:
dec19_cr_out = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h1c1:
dec19_cr_out = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h1a1:
dec19_cr_out = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h0c1:
dec19_cr_out = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h210:
dec19_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h010:
dec19_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h230:
dec19_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h096:
dec19_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h012:
dec19_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h112:
dec19_cr_out = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec19_sv_in1 = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h000:
dec19_sv_in1 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h101:
dec19_sv_in1 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h081:
dec19_sv_in1 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h121:
dec19_sv_in1 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h0e1:
dec19_sv_in1 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h021:
dec19_sv_in1 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h1c1:
dec19_sv_in1 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h1a1:
dec19_sv_in1 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h0c1:
dec19_sv_in1 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h210:
dec19_sv_in1 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h010:
dec19_sv_in1 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h230:
dec19_sv_in1 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h096:
dec19_sv_in1 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h012:
dec19_sv_in1 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h112:
dec19_sv_in1 = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec19_sv_in2 = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h000:
dec19_sv_in2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h101:
dec19_sv_in2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h081:
dec19_sv_in2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h121:
dec19_sv_in2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h0e1:
dec19_sv_in2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h021:
dec19_sv_in2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h1c1:
dec19_sv_in2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h1a1:
dec19_sv_in2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h0c1:
dec19_sv_in2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h210:
dec19_sv_in2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h010:
dec19_sv_in2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h230:
dec19_sv_in2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h096:
dec19_sv_in2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h012:
dec19_sv_in2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h112:
dec19_sv_in2 = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec19_sv_in3 = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h000:
dec19_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h101:
dec19_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h081:
dec19_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h121:
dec19_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h0e1:
dec19_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h021:
dec19_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h1c1:
dec19_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h1a1:
dec19_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h0c1:
dec19_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h210:
dec19_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h010:
dec19_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h230:
dec19_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h096:
dec19_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h012:
dec19_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h112:
dec19_sv_in3 = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec19_sv_out = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h000:
dec19_sv_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h101:
dec19_sv_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h081:
dec19_sv_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h121:
dec19_sv_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h0e1:
dec19_sv_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h021:
dec19_sv_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h1c1:
dec19_sv_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h1a1:
dec19_sv_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h0c1:
dec19_sv_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h210:
dec19_sv_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h010:
dec19_sv_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h230:
dec19_sv_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h096:
dec19_sv_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h012:
dec19_sv_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h112:
dec19_sv_out = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec19_sv_out2 = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h000:
dec19_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h101:
dec19_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h081:
dec19_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h121:
dec19_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h0e1:
dec19_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h021:
dec19_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h1c1:
dec19_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h1a1:
dec19_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h0c1:
dec19_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h210:
dec19_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h010:
dec19_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h230:
dec19_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h096:
dec19_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h012:
dec19_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h112:
dec19_sv_out2 = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec19_sv_cr_in = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h000:
dec19_sv_cr_in = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h101:
dec19_sv_cr_in = 3'h5;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h081:
dec19_sv_cr_in = 3'h5;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h121:
dec19_sv_cr_in = 3'h5;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h0e1:
dec19_sv_cr_in = 3'h5;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h021:
dec19_sv_cr_in = 3'h5;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h1c1:
dec19_sv_cr_in = 3'h5;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h1a1:
dec19_sv_cr_in = 3'h5;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h0c1:
dec19_sv_cr_in = 3'h5;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h210:
dec19_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h010:
dec19_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h230:
dec19_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h096:
dec19_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h012:
dec19_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h112:
dec19_sv_cr_in = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec19_sv_cr_out = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h000:
dec19_sv_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h101:
dec19_sv_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h081:
dec19_sv_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h121:
dec19_sv_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h0e1:
dec19_sv_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h021:
dec19_sv_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h1c1:
dec19_sv_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h1a1:
dec19_sv_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h0c1:
dec19_sv_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h210:
dec19_sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h010:
dec19_sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h230:
dec19_sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h096:
dec19_sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h012:
dec19_sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h112:
dec19_sv_cr_out = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec19_ldst_len = 4'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h000:
dec19_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h101:
dec19_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h081:
dec19_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h121:
dec19_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h0e1:
dec19_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h021:
dec19_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h1c1:
dec19_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h1a1:
dec19_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h0c1:
dec19_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h210:
dec19_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h010:
dec19_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h230:
dec19_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h096:
dec19_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h012:
dec19_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h112:
dec19_ldst_len = 4'h0;
endcase
always @* begin
if (\initial ) begin end
dec19_internal_op = 7'h00;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h000:
dec19_internal_op = 7'h2a;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h101:
dec19_internal_op = 7'h45;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h081:
dec19_internal_op = 7'h45;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h121:
dec19_internal_op = 7'h45;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h0e1:
dec19_internal_op = 7'h45;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h021:
dec19_internal_op = 7'h45;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h1c1:
dec19_internal_op = 7'h45;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h1a1:
dec19_internal_op = 7'h45;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h0c1:
dec19_internal_op = 7'h45;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h210:
dec19_internal_op = 7'h08;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h010:
dec19_internal_op = 7'h08;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h230:
dec19_internal_op = 7'h08;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h096:
dec19_internal_op = 7'h24;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h012:
dec19_internal_op = 7'h46;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h112:
dec19_internal_op = 7'h46;
endcase
always @* begin
if (\initial ) begin end
dec19_upd = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h000:
dec19_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h101:
dec19_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h081:
dec19_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h121:
dec19_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h0e1:
dec19_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h021:
dec19_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h1c1:
dec19_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h1a1:
dec19_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h0c1:
dec19_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h210:
dec19_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h010:
dec19_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h230:
dec19_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h096:
dec19_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h012:
dec19_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h112:
dec19_upd = 2'h0;
endcase
always @* begin
if (\initial ) begin end
dec19_rc_sel = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h000:
dec19_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h101:
dec19_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h081:
dec19_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h121:
dec19_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h0e1:
dec19_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h021:
dec19_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h1c1:
dec19_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h1a1:
dec19_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h0c1:
dec19_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h210:
dec19_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h010:
dec19_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h230:
dec19_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h096:
dec19_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h012:
dec19_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h112:
dec19_rc_sel = 2'h0;
endcase
always @* begin
if (\initial ) begin end
dec19_cry_in = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h000:
dec19_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h101:
dec19_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h081:
dec19_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h121:
dec19_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h0e1:
dec19_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h021:
dec19_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h1c1:
dec19_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h1a1:
dec19_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h0c1:
dec19_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h210:
dec19_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h010:
dec19_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h230:
dec19_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h096:
dec19_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h012:
dec19_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h112:
dec19_cry_in = 2'h0;
endcase
always @* begin
if (\initial ) begin end
dec19_asmcode = 8'h00;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h000:
dec19_asmcode = 8'h8c;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h101:
dec19_asmcode = 8'h25;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h081:
dec19_asmcode = 8'h26;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h121:
dec19_asmcode = 8'h27;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h0e1:
dec19_asmcode = 8'h28;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h021:
dec19_asmcode = 8'h29;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h1c1:
dec19_asmcode = 8'h2a;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h1a1:
dec19_asmcode = 8'h2b;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h0c1:
dec19_asmcode = 8'h2c;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h210:
dec19_asmcode = 8'h16;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h010:
dec19_asmcode = 8'h17;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h230:
dec19_asmcode = 8'h18;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h096:
dec19_asmcode = 8'h62;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h012:
dec19_asmcode = 8'hb1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h112:
dec19_asmcode = 8'h5e;
endcase
always @* begin
if (\initial ) begin end
dec19_inv_a = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h000:
dec19_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h101:
dec19_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h081:
dec19_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h121:
dec19_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h0e1:
dec19_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h021:
dec19_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h1c1:
dec19_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h1a1:
dec19_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h0c1:
dec19_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h210:
dec19_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h010:
dec19_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h230:
dec19_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h096:
dec19_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h012:
dec19_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h112:
dec19_inv_a = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec19_inv_out = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h000:
dec19_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h101:
dec19_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h081:
dec19_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h121:
dec19_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h0e1:
dec19_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h021:
dec19_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h1c1:
dec19_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h1a1:
dec19_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h0c1:
dec19_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h210:
dec19_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h010:
dec19_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h230:
dec19_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h096:
dec19_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h012:
dec19_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h112:
dec19_inv_out = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec19_cry_out = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h000:
dec19_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h101:
dec19_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h081:
dec19_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h121:
dec19_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h0e1:
dec19_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h021:
dec19_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h1c1:
dec19_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h1a1:
dec19_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h0c1:
dec19_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h210:
dec19_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h010:
dec19_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h230:
dec19_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h096:
dec19_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h012:
dec19_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h112:
dec19_cry_out = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec19_br = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h000:
dec19_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h101:
dec19_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h081:
dec19_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h121:
dec19_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h0e1:
dec19_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h021:
dec19_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h1c1:
dec19_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h1a1:
dec19_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h0c1:
dec19_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h210:
dec19_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h010:
dec19_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h230:
dec19_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h096:
dec19_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h012:
dec19_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h112:
dec19_br = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec19_sgn_ext = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h000:
dec19_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h101:
dec19_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h081:
dec19_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h121:
dec19_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h0e1:
dec19_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h021:
dec19_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h1c1:
dec19_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h1a1:
dec19_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h0c1:
dec19_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h210:
dec19_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h010:
dec19_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h230:
dec19_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h096:
dec19_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h012:
dec19_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h112:
dec19_sgn_ext = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec19_rsrv = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h000:
dec19_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h101:
dec19_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h081:
dec19_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h121:
dec19_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h0e1:
dec19_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h021:
dec19_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h1c1:
dec19_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h1a1:
dec19_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h0c1:
dec19_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h210:
dec19_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h010:
dec19_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h230:
dec19_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h096:
dec19_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h012:
dec19_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h112:
dec19_rsrv = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec19_form = 5'h00;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h000:
dec19_form = 5'h09;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h101:
dec19_form = 5'h09;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h081:
dec19_form = 5'h09;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h121:
dec19_form = 5'h09;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h0e1:
dec19_form = 5'h09;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h021:
dec19_form = 5'h09;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h1c1:
dec19_form = 5'h09;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h1a1:
dec19_form = 5'h09;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h0c1:
dec19_form = 5'h09;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h210:
dec19_form = 5'h09;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h010:
dec19_form = 5'h09;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h230:
dec19_form = 5'h09;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h096:
dec19_form = 5'h09;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h012:
dec19_form = 5'h09;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h112:
dec19_form = 5'h09;
endcase
always @* begin
if (\initial ) begin end
dec19_is_32b = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h000:
dec19_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h101:
dec19_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h081:
dec19_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h121:
dec19_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h0e1:
dec19_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h021:
dec19_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h1c1:
dec19_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h1a1:
dec19_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h0c1:
dec19_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h210:
dec19_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h010:
dec19_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h230:
dec19_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h096:
dec19_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h012:
dec19_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h112:
dec19_is_32b = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec19_sgn = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h000:
dec19_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h101:
dec19_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h081:
dec19_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h121:
dec19_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h0e1:
dec19_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h021:
dec19_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h1c1:
dec19_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h1a1:
dec19_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h0c1:
dec19_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h210:
dec19_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h010:
dec19_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h230:
dec19_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h096:
dec19_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h012:
dec19_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h112:
dec19_sgn = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec19_lk = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h000:
dec19_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h101:
dec19_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h081:
dec19_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h121:
dec19_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h0e1:
dec19_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h021:
dec19_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h1c1:
dec19_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h1a1:
dec19_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h0c1:
dec19_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h210:
dec19_lk = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h010:
dec19_lk = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h230:
dec19_lk = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h096:
dec19_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h012:
dec19_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h112:
dec19_lk = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec19_sgl_pipe = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h000:
dec19_sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h101:
dec19_sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h081:
dec19_sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h121:
dec19_sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h0e1:
dec19_sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h021:
dec19_sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h1c1:
dec19_sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h1a1:
dec19_sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h0c1:
dec19_sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h210:
dec19_sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h010:
dec19_sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h230:
dec19_sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h096:
dec19_sgl_pipe = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h012:
dec19_sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h112:
dec19_sgl_pipe = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec19_SV_Etype = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h000:
dec19_SV_Etype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h101:
dec19_SV_Etype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h081:
dec19_SV_Etype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h121:
dec19_SV_Etype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h0e1:
dec19_SV_Etype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h021:
dec19_SV_Etype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h1c1:
dec19_SV_Etype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h1a1:
dec19_SV_Etype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h0c1:
dec19_SV_Etype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h210:
dec19_SV_Etype = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h010:
dec19_SV_Etype = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h230:
dec19_SV_Etype = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h096:
dec19_SV_Etype = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h012:
dec19_SV_Etype = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h112:
dec19_SV_Etype = 2'h0;
endcase
always @* begin
if (\initial ) begin end
dec19_SV_Ptype = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h000:
dec19_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h101:
dec19_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h081:
dec19_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h121:
dec19_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h0e1:
dec19_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h021:
dec19_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h1c1:
dec19_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h1a1:
dec19_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h0c1:
dec19_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h210:
dec19_SV_Ptype = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h010:
dec19_SV_Ptype = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h230:
dec19_SV_Ptype = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h096:
dec19_SV_Ptype = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h012:
dec19_SV_Ptype = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h112:
dec19_SV_Ptype = 2'h0;
endcase
always @* begin
if (\initial ) begin end
dec19_in1_sel = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h000:
dec19_in1_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h101:
dec19_in1_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h081:
dec19_in1_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h121:
dec19_in1_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h0e1:
dec19_in1_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h021:
dec19_in1_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h1c1:
dec19_in1_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h1a1:
dec19_in1_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h0c1:
dec19_in1_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h210:
dec19_in1_sel = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h010:
dec19_in1_sel = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h230:
dec19_in1_sel = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h096:
dec19_in1_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h012:
dec19_in1_sel = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h112:
dec19_in1_sel = 3'h3;
endcase
always @* begin
if (\initial ) begin end
dec19_in2_sel = 4'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h000:
dec19_in2_sel = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h101:
dec19_in2_sel = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h081:
dec19_in2_sel = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h121:
dec19_in2_sel = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h0e1:
dec19_in2_sel = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h021:
dec19_in2_sel = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h1c1:
dec19_in2_sel = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h1a1:
dec19_in2_sel = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h0c1:
dec19_in2_sel = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h210:
dec19_in2_sel = 4'hc;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h010:
dec19_in2_sel = 4'hc;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h230:
dec19_in2_sel = 4'hc;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h096:
dec19_in2_sel = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h012:
dec19_in2_sel = 4'hc;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h112:
dec19_in2_sel = 4'hc;
endcase
always @* begin
if (\initial ) begin end
dec19_in3_sel = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h000:
dec19_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h101:
dec19_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h081:
dec19_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h121:
dec19_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h0e1:
dec19_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h021:
dec19_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h1c1:
dec19_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h1a1:
dec19_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h0c1:
dec19_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h210:
dec19_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h010:
dec19_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h230:
dec19_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h096:
dec19_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h012:
dec19_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h112:
dec19_in3_sel = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec19_out_sel = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h000:
dec19_out_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h101:
dec19_out_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h081:
dec19_out_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h121:
dec19_out_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h0e1:
dec19_out_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h021:
dec19_out_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h1c1:
dec19_out_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h1a1:
dec19_out_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h0c1:
dec19_out_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h210:
dec19_out_sel = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h010:
dec19_out_sel = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h230:
dec19_out_sel = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h096:
dec19_out_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h012:
dec19_out_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
10'h112:
dec19_out_sel = 3'h0;
endcase
wire \$60 ;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:896" *)
wire \$62 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [6:0] \$69 ;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:1356" *)
wire \$7 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [6:0] \$71 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [6:0] \$73 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [6:0] \$75 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [6:0] \$77 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [6:0] \$79 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [6:0] \$81 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [6:0] \$83 ;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:1274" *)
wire \$85 ;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:97" *)
output [1:0] SV_Ptype;
reg [1:0] SV_Ptype;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:104" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:105" *)
output [7:0] asmcode;
reg [7:0] asmcode;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:479" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:481" *)
input bigendian;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:46" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:47" *)
output [63:0] cia;
reg [63:0] cia;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [6:0] cr_in1;
reg [6:0] cr_in1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output cr_in1_ok;
reg cr_in1_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [6:0] cr_in2;
reg [6:0] cr_in2;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [6:0] \cr_in2$1 ;
reg [6:0] \cr_in2$1 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output cr_in2_ok;
reg cr_in2_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output \cr_in2_ok$2 ;
reg \cr_in2_ok$2 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [6:0] cr_out;
reg [6:0] cr_out;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output cr_out_ok;
reg cr_out_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [7:0] cr_rd;
reg [7:0] cr_rd;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output cr_rd_ok;
reg cr_rd_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [7:0] cr_wr;
reg [7:0] cr_wr;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output cr_wr_ok;
reg cr_wr_ok;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/sv/svstate.py:28" *)
wire dec2_exc_rc_error;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/exceptions.py:14" *)
wire dec2_exc_segment_fault;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] dec_BA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] dec_BB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] dec_BC;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] dec_BI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] dec_BO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] dec_BT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] dec_FRA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] dec_FRB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] dec_FRC;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] dec_FRS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] dec_FRT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [7:0] dec_FXM;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire dec_LK;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire dec_OE;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] dec_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] dec_RB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] dec_RS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] dec_RT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire dec_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [9:0] dec_SPR;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [4:0] dec_XL_BT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [9:0] dec_XL_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] dec_X_BF;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
wire [2:0] dec_X_BFA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [2:0] dec_a_fast_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire dec_a_fast_a_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [4:0] dec_a_reg_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire dec_a_reg_a_ok;
(* enum_base_type = "In1Sel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_1110000000 = "PPR" *)
(* enum_value_1110000010 = "PPR32" *)
(* enum_value_1111111111 = "PIR" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [9:0] dec_a_spr_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire dec_a_spr_a_ok;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:115" *)
wire dec_a_sv_nz;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [7:0] dec_asmcode;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [2:0] dec_b_fast_b;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire dec_b_fast_b_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [6:0] dec_b_reg_b;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire dec_b_reg_b_ok;
(* enum_base_type = "In2Sel" *)
(* enum_value_0000 = "NONE" *)
(* enum_value_1110 = "FRB" *)
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:222" *)
wire [3:0] dec_b_sel_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [4:0] dec_c_reg_c;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire dec_c_reg_c_ok;
(* enum_base_type = "In3Sel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_101 = "BC" *)
(* enum_value_110 = "WHOLE_REG" *)
(* enum_value_111 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec_cr_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [2:0] dec_cr_in_cr_bitfield;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [2:0] dec_cr_in_cr_bitfield_b;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire dec_cr_in_cr_bitfield_b_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [2:0] dec_cr_in_cr_bitfield_o;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire dec_cr_in_cr_bitfield_o_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire dec_cr_in_cr_bitfield_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [7:0] dec_cr_in_cr_fxm;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire dec_cr_in_cr_fxm_ok;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:571" *)
wire [31:0] dec_cr_in_insn_in;
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec_cr_out;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [2:0] dec_cr_out_cr_bitfield;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire dec_cr_out_cr_bitfield_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [7:0] dec_cr_out_cr_fxm;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire dec_cr_out_cr_fxm_ok;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:646" *)
wire [31:0] dec_cr_out_insn_in;
(* enum_value_00 = "ZERO" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "CA" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec_cry_in;
(* enum_base_type = "Function" *)
(* enum_value_000000000000000 = "NONE" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [14:0] dec_function_unit;
(* enum_base_type = "In1Sel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_100 = "RS" *)
(* enum_value_101 = "FRA" *)
(* enum_value_110 = "FRS" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec_in1_sel;
(* enum_base_type = "In2Sel" *)
(* enum_value_0000 = "NONE" *)
(* enum_value_1100 = "SPR" *)
(* enum_value_1101 = "RS" *)
(* enum_value_1110 = "FRB" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [3:0] dec_in2_sel;
(* enum_base_type = "In3Sel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_010 = "RB" *)
(* enum_value_011 = "FRS" *)
(* enum_value_100 = "FRC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec_in3_sel;
(* enum_base_type = "MicrOp" *)
(* enum_value_0000000 = "OP_ILLEGAL" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [6:0] dec_internal_op;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:1300" *)
wire dec_irq_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec_is_32b;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec_lk;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [2:0] dec_o2_fast_o2;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire dec_o2_fast_o2_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [2:0] dec_o2_fast_o3;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire dec_o2_fast_o3_ok;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:441" *)
wire dec_o2_lk;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [4:0] dec_o2_reg_o2;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire dec_o2_reg_o2_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [2:0] dec_o_fast_o;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire dec_o_fast_o_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [4:0] dec_o_reg_o;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire dec_o_reg_o_ok;
(* enum_base_type = "OutSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_1110000000 = "PPR" *)
(* enum_value_1110000010 = "PPR32" *)
(* enum_value_1111111111 = "PIR" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [9:0] dec_o_spr_o;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire dec_o_spr_o_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire dec_oe_oe;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire dec_oe_oe_ok;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_10 = "RC" *)
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:527" *)
wire [1:0] dec_oe_sel_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
wire [31:0] dec_opcode_in;
(* enum_base_type = "OutSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "SPR" *)
(* enum_value_100 = "RT_OR_ZERO" *)
(* enum_value_101 = "FRT" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec_out_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire dec_rc_rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire dec_rc_rc_ok;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec_rc_sel;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "update" *)
(* enum_value_10 = "cix" *)
(* enum_value_11 = "cx" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec_upd;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [6:0] ea;
reg [6:0] ea;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output ea_ok;
reg ea_ok;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/exceptions.py:14" *)
reg exc_segment_fault;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:1299" *)
wire ext_irq_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [2:0] fast1;
reg [2:0] fast1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output fast1_ok;
reg fast1_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [2:0] fast2;
reg [2:0] fast2;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output fast2_ok;
reg fast2_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [2:0] fast3;
reg [2:0] fast3;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output fast3_ok;
reg fast3_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [2:0] fasto1;
reg [2:0] fasto1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output fasto1_ok;
reg fasto1_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [2:0] fasto2;
reg [2:0] fasto2;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output fasto2_ok;
reg fasto2_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [2:0] fasto3;
reg [2:0] fasto3;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output fasto3_ok;
reg fasto3_ok;
(* enum_base_type = "Function" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:52" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:53" *)
output [14:0] fn_unit;
reg [14:0] fn_unit;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:1302" *)
(* enum_value_00 = "ZERO" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "CA" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:56" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:57" *)
output [1:0] input_carry;
reg [1:0] input_carry;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:50" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:51" *)
output [31:0] insn;
reg [31:0] insn;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:491" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:51" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:52" *)
output [6:0] insn_type;
reg [6:0] insn_type;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:62" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:63" *)
output is_32bit;
reg is_32bit;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:884" *)
reg is_priv_insn;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:883" *)
wire is_spr_mv;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:53" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:54" *)
output lk;
reg lk;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:45" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:46" *)
output [63:0] msr;
reg [63:0] msr;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output oe;
reg oe;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output oe_ok;
reg oe_ok;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:1301" *)
wire priv_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:478" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:480" *)
input [31:0] raw_opcode_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output rc;
reg rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output rc_ok;
reg rc_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [6:0] reg1;
reg [6:0] reg1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output reg1_ok;
reg reg1_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [6:0] reg2;
reg [6:0] reg2;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output reg2_ok;
reg reg2_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [6:0] reg3;
reg [6:0] reg3;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output reg3_ok;
reg reg3_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [6:0] rego;
reg [6:0] rego;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output rego_ok;
reg rego_ok;
(* enum_base_type = "OutSel" *)
(* enum_value_1110000000 = "PPR" *)
(* enum_value_1110000010 = "PPR32" *)
(* enum_value_1111111111 = "PIR" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [9:0] spr1;
reg [9:0] spr1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output spr1_ok;
reg spr1_ok;
(* enum_base_type = "SPR" *)
(* enum_value_1110000000 = "PPR" *)
(* enum_value_1110000010 = "PPR32" *)
(* enum_value_1111111111 = "PIR" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [9:0] spro;
reg [9:0] spro;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output spro_ok;
reg spro_ok;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:747" *)
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:97" *)
output [1:0] sv_saturate;
reg [1:0] sv_saturate;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:47" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:48" *)
output [31:0] svstate;
reg [31:0] svstate;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:104" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:105" *)
wire [7:0] tmp_asmcode;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [6:0] tmp_cr_in1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire tmp_cr_in1_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [6:0] tmp_cr_in2;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [6:0] \tmp_cr_in2$5 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire tmp_cr_in2_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire \tmp_cr_in2_ok$6 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [6:0] tmp_cr_out;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire tmp_cr_out_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [6:0] tmp_ea;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire tmp_ea_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [2:0] tmp_fast1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire tmp_fast1_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [2:0] tmp_fast2;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire tmp_fast2_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [2:0] tmp_fast3;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire tmp_fast3_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [2:0] tmp_fasto1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire tmp_fasto1_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [2:0] tmp_fasto2;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire tmp_fasto2_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [2:0] tmp_fasto3;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire tmp_fasto3_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [6:0] tmp_reg1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire tmp_reg1_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [6:0] tmp_reg2;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire tmp_reg2_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [6:0] tmp_reg3;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire tmp_reg3_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [6:0] tmp_rego;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire tmp_rego_ok;
(* enum_base_type = "SPR" *)
(* enum_value_0000000001 = "XER" *)
(* enum_value_1110000000 = "PPR" *)
(* enum_value_1110000010 = "PPR32" *)
(* enum_value_1111111111 = "PIR" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [9:0] tmp_spr1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire tmp_spr1_ok;
(* enum_base_type = "SPR" *)
(* enum_value_0000000001 = "XER" *)
(* enum_value_1110000000 = "PPR" *)
(* enum_value_1110000010 = "PPR32" *)
(* enum_value_1111111111 = "PIR" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [9:0] tmp_spro;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire tmp_spro_ok;
(* enum_base_type = "SVPtype" *)
(* enum_value_00 = "NONE" *)
(* enum_value_10 = "UNSIGNED" *)
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:97" *)
wire [1:0] tmp_tmp__sv_saturate;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:46" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:47" *)
wire [63:0] tmp_tmp_cia;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [7:0] tmp_tmp_cr_rd;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire tmp_tmp_cr_rd_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [7:0] tmp_tmp_cr_wr;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire tmp_tmp_cr_wr_ok;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/exceptions.py:14" *)
wire tmp_tmp_exc_alignment;
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:52" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:53" *)
reg [14:0] tmp_tmp_fn_unit;
(* enum_base_type = "CryIn" *)
(* enum_value_00 = "ZERO" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "CA" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:56" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:57" *)
wire [1:0] tmp_tmp_input_carry;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:50" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:51" *)
wire [31:0] tmp_tmp_insn;
(* enum_base_type = "MicrOp" *)
(* enum_value_0000000 = "OP_ILLEGAL" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:51" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:52" *)
reg [6:0] tmp_tmp_insn_type;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:62" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:63" *)
wire tmp_tmp_is_32bit;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:53" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:54" *)
reg tmp_tmp_lk;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:45" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:46" *)
wire [63:0] tmp_tmp_msr;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire tmp_tmp_oe;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire tmp_tmp_oe_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire tmp_tmp_rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire tmp_tmp_rc_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:47" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:48" *)
wire [31:0] tmp_tmp_svstate;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:59" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:60" *)
reg [12:0] tmp_tmp_trapaddr;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:57" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:58" *)
wire [7:0] tmp_tmp_traptype;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:114" *)
- reg [2:0] tmp_xer_in;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:115" *)
+ reg [2:0] tmp_xer_in;
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:116" *)
reg tmp_xer_out;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:59" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:60" *)
output [12:0] trapaddr;
reg [12:0] trapaddr;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:57" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:58" *)
output [7:0] traptype;
reg [7:0] traptype;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:114" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:115" *)
output [2:0] xer_in;
reg [2:0] xer_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:115" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:116" *)
output xer_out;
reg xer_out;
assign \$9 = insn_type == (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:1357" *) 7'h49;
assign \$58 = is_spr_mv & (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:896" *) \$56 ;
assign \$60 = ~ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:896" *) is_mmu_spr;
assign \$62 = \$58 & (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:896" *) \$60 ;
- assign \$69 = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *) dec_a_reg_a;
- assign \$71 = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *) dec_c_reg_c;
- assign \$73 = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *) dec_o_reg_o;
- assign \$75 = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *) dec_o2_reg_o2;
- assign \$77 = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *) dec_cr_in_cr_bitfield;
+ assign \$69 = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *) dec_a_reg_a;
+ assign \$71 = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *) dec_c_reg_c;
+ assign \$73 = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *) dec_o_reg_o;
+ assign \$75 = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *) dec_o2_reg_o2;
+ assign \$77 = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *) dec_cr_in_cr_bitfield;
assign \$7 = insn_type == (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:1356" *) 7'h3f;
- assign \$79 = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *) dec_cr_in_cr_bitfield_b;
- assign \$81 = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *) dec_cr_in_cr_bitfield_o;
- assign \$83 = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *) dec_cr_out_cr_bitfield;
+ assign \$79 = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *) dec_cr_in_cr_bitfield_b;
+ assign \$81 = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *) dec_cr_in_cr_bitfield_o;
+ assign \$83 = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *) dec_cr_out_cr_bitfield;
assign \$85 = dec_internal_op == (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:1274" *) 7'h2e;
assign \$87 = dec_internal_op == (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:1276" *) 7'h0a;
assign \$89 = dec_internal_op == (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:1278" *) 7'h31;
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "EXTRA2" *)
(* enum_value_10 = "EXTRA3" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] dec22_SV_Etype;
reg [1:0] dec22_SV_Etype;
(* enum_base_type = "SVPtype" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "P1" *)
(* enum_value_10 = "P2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] dec22_SV_Ptype;
reg [1:0] dec22_SV_Ptype;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [7:0] dec22_asmcode;
reg [7:0] dec22_asmcode;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec22_br;
reg dec22_br;
(* enum_base_type = "CRInSel" *)
(* enum_value_101 = "BC" *)
(* enum_value_110 = "WHOLE_REG" *)
(* enum_value_111 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec22_cr_in;
reg [2:0] dec22_cr_in;
(* enum_base_type = "CROutSel" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec22_cr_out;
reg [2:0] dec22_cr_out;
(* enum_base_type = "CryIn" *)
(* enum_value_00 = "ZERO" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "CA" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] dec22_cry_in;
reg [1:0] dec22_cry_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec22_cry_out;
reg dec22_cry_out;
(* enum_base_type = "Form" *)
(* enum_value_11011 = "Z22" *)
(* enum_value_11100 = "Z23" *)
(* enum_value_11101 = "SVL" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [4:0] dec22_form;
reg [4:0] dec22_form;
(* enum_base_type = "Function" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [14:0] dec22_function_unit;
reg [14:0] dec22_function_unit;
(* enum_base_type = "In1Sel" *)
(* enum_value_100 = "RS" *)
(* enum_value_101 = "FRA" *)
(* enum_value_110 = "FRS" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec22_in1_sel;
reg [2:0] dec22_in1_sel;
(* enum_base_type = "In2Sel" *)
(* enum_value_1100 = "SPR" *)
(* enum_value_1101 = "RS" *)
(* enum_value_1110 = "FRB" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [3:0] dec22_in2_sel;
reg [3:0] dec22_in2_sel;
(* enum_base_type = "In3Sel" *)
(* enum_value_010 = "RB" *)
(* enum_value_011 = "FRS" *)
(* enum_value_100 = "FRC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec22_in3_sel;
reg [2:0] dec22_in3_sel;
(* enum_base_type = "MicrOp" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [6:0] dec22_internal_op;
reg [6:0] dec22_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec22_inv_a;
reg dec22_inv_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec22_inv_out;
reg dec22_inv_out;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec22_is_32b;
reg dec22_is_32b;
(* enum_base_type = "LdstLen" *)
(* enum_value_0010 = "is2B" *)
(* enum_value_0100 = "is4B" *)
(* enum_value_1000 = "is8B" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [3:0] dec22_ldst_len;
reg [3:0] dec22_ldst_len;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec22_lk;
reg dec22_lk;
(* enum_base_type = "OutSel" *)
(* enum_value_011 = "SPR" *)
(* enum_value_100 = "RT_OR_ZERO" *)
(* enum_value_101 = "FRT" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec22_out_sel;
reg [2:0] dec22_out_sel;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] dec22_rc_sel;
reg [1:0] dec22_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec22_rsrv;
reg dec22_rsrv;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec22_sgl_pipe;
reg dec22_sgl_pipe;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec22_sgn;
reg dec22_sgn;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec22_sgn_ext;
reg dec22_sgn_ext;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec22_sv_cr_in;
reg [2:0] dec22_sv_cr_in;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec22_sv_cr_out;
reg [2:0] dec22_sv_cr_out;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec22_sv_in1;
reg [2:0] dec22_sv_in1;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec22_sv_in2;
reg [2:0] dec22_sv_in2;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec22_sv_in3;
reg [2:0] dec22_sv_in3;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec22_sv_out;
reg [2:0] dec22_sv_out;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec22_sv_out2;
reg [2:0] dec22_sv_out2;
(* enum_base_type = "LDSTMode" *)
(* enum_value_01 = "update" *)
(* enum_value_10 = "cix" *)
(* enum_value_11 = "cx" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] dec22_upd;
reg [1:0] dec22_upd;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
input [31:0] opcode_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
wire [3:0] opcode_switch;
always @* begin
if (\initial ) begin end
dec22_function_unit = 15'h0000;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h0:
dec22_function_unit = 15'h2000;
endcase
always @* begin
if (\initial ) begin end
dec22_cr_in = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h0:
dec22_cr_in = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec22_cr_out = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h0:
dec22_cr_out = 3'h1;
endcase
always @* begin
if (\initial ) begin end
dec22_sv_in1 = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h0:
dec22_sv_in1 = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec22_sv_in2 = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h0:
dec22_sv_in2 = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec22_sv_in3 = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h0:
dec22_sv_in3 = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec22_sv_out = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h0:
dec22_sv_out = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec22_sv_out2 = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h0:
dec22_sv_out2 = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec22_sv_cr_in = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h0:
dec22_sv_cr_in = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec22_sv_cr_out = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h0:
dec22_sv_cr_out = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec22_ldst_len = 4'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h0:
dec22_ldst_len = 4'h0;
endcase
always @* begin
if (\initial ) begin end
dec22_internal_op = 7'h00;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h0:
dec22_internal_op = 7'h4c;
endcase
always @* begin
if (\initial ) begin end
dec22_upd = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h0:
dec22_upd = 2'h0;
endcase
always @* begin
if (\initial ) begin end
dec22_rc_sel = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h0:
dec22_rc_sel = 2'h2;
endcase
always @* begin
if (\initial ) begin end
dec22_cry_in = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h0:
dec22_cry_in = 2'h0;
endcase
always @* begin
if (\initial ) begin end
dec22_asmcode = 8'h00;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h0:
dec22_asmcode = 8'hbc;
endcase
always @* begin
if (\initial ) begin end
dec22_inv_a = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h0:
dec22_inv_a = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec22_inv_out = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h0:
dec22_inv_out = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec22_cry_out = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h0:
dec22_cry_out = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec22_br = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h0:
dec22_br = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec22_sgn_ext = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h0:
dec22_sgn_ext = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec22_rsrv = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h0:
dec22_rsrv = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec22_form = 5'h00;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h0:
dec22_form = 5'h1d;
endcase
always @* begin
if (\initial ) begin end
dec22_is_32b = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h0:
dec22_is_32b = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec22_sgn = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h0:
dec22_sgn = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec22_lk = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h0:
dec22_lk = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec22_sgl_pipe = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h0:
dec22_sgl_pipe = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec22_SV_Etype = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h0:
dec22_SV_Etype = 2'h0;
endcase
always @* begin
if (\initial ) begin end
dec22_SV_Ptype = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h0:
dec22_SV_Ptype = 2'h0;
endcase
always @* begin
if (\initial ) begin end
dec22_in1_sel = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h0:
dec22_in1_sel = 3'h2;
endcase
always @* begin
if (\initial ) begin end
dec22_in2_sel = 4'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h0:
dec22_in2_sel = 4'h0;
endcase
always @* begin
if (\initial ) begin end
dec22_in3_sel = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h0:
dec22_in3_sel = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec22_out_sel = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h0:
dec22_out_sel = 3'h4;
endcase
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "EXTRA2" *)
(* enum_value_10 = "EXTRA3" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] dec30_SV_Etype;
reg [1:0] dec30_SV_Etype;
(* enum_base_type = "SVPtype" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "P1" *)
(* enum_value_10 = "P2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] dec30_SV_Ptype;
reg [1:0] dec30_SV_Ptype;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [7:0] dec30_asmcode;
reg [7:0] dec30_asmcode;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec30_br;
reg dec30_br;
(* enum_base_type = "CRInSel" *)
(* enum_value_101 = "BC" *)
(* enum_value_110 = "WHOLE_REG" *)
(* enum_value_111 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec30_cr_in;
reg [2:0] dec30_cr_in;
(* enum_base_type = "CROutSel" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec30_cr_out;
reg [2:0] dec30_cr_out;
(* enum_base_type = "CryIn" *)
(* enum_value_00 = "ZERO" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "CA" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] dec30_cry_in;
reg [1:0] dec30_cry_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec30_cry_out;
reg dec30_cry_out;
(* enum_base_type = "Form" *)
(* enum_value_11011 = "Z22" *)
(* enum_value_11100 = "Z23" *)
(* enum_value_11101 = "SVL" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [4:0] dec30_form;
reg [4:0] dec30_form;
(* enum_base_type = "Function" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [14:0] dec30_function_unit;
reg [14:0] dec30_function_unit;
(* enum_base_type = "In1Sel" *)
(* enum_value_100 = "RS" *)
(* enum_value_101 = "FRA" *)
(* enum_value_110 = "FRS" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec30_in1_sel;
reg [2:0] dec30_in1_sel;
(* enum_base_type = "In2Sel" *)
(* enum_value_1100 = "SPR" *)
(* enum_value_1101 = "RS" *)
(* enum_value_1110 = "FRB" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [3:0] dec30_in2_sel;
reg [3:0] dec30_in2_sel;
(* enum_base_type = "In3Sel" *)
(* enum_value_010 = "RB" *)
(* enum_value_011 = "FRS" *)
(* enum_value_100 = "FRC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec30_in3_sel;
reg [2:0] dec30_in3_sel;
(* enum_base_type = "MicrOp" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [6:0] dec30_internal_op;
reg [6:0] dec30_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec30_inv_a;
reg dec30_inv_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec30_inv_out;
reg dec30_inv_out;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec30_is_32b;
reg dec30_is_32b;
(* enum_base_type = "LdstLen" *)
(* enum_value_0010 = "is2B" *)
(* enum_value_0100 = "is4B" *)
(* enum_value_1000 = "is8B" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [3:0] dec30_ldst_len;
reg [3:0] dec30_ldst_len;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec30_lk;
reg dec30_lk;
(* enum_base_type = "OutSel" *)
(* enum_value_011 = "SPR" *)
(* enum_value_100 = "RT_OR_ZERO" *)
(* enum_value_101 = "FRT" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec30_out_sel;
reg [2:0] dec30_out_sel;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] dec30_rc_sel;
reg [1:0] dec30_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec30_rsrv;
reg dec30_rsrv;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec30_sgl_pipe;
reg dec30_sgl_pipe;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec30_sgn;
reg dec30_sgn;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec30_sgn_ext;
reg dec30_sgn_ext;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec30_sv_cr_in;
reg [2:0] dec30_sv_cr_in;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec30_sv_cr_out;
reg [2:0] dec30_sv_cr_out;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec30_sv_in1;
reg [2:0] dec30_sv_in1;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec30_sv_in2;
reg [2:0] dec30_sv_in2;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec30_sv_in3;
reg [2:0] dec30_sv_in3;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec30_sv_out;
reg [2:0] dec30_sv_out;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec30_sv_out2;
reg [2:0] dec30_sv_out2;
(* enum_base_type = "LDSTMode" *)
(* enum_value_01 = "update" *)
(* enum_value_10 = "cix" *)
(* enum_value_11 = "cx" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] dec30_upd;
reg [1:0] dec30_upd;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
input [31:0] opcode_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
wire [3:0] opcode_switch;
always @* begin
if (\initial ) begin end
dec30_function_unit = 15'h0000;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h4:
dec30_function_unit = 15'h0008;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h5:
dec30_function_unit = 15'h0008;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h0:
dec30_function_unit = 15'h0008;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h1:
dec30_function_unit = 15'h0008;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h2:
dec30_function_unit = 15'h0008;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h3:
dec30_function_unit = 15'h0008;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h6:
dec30_function_unit = 15'h0008;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h7:
dec30_function_unit = 15'h0008;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h8:
dec30_function_unit = 15'h0008;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h9:
dec30_function_unit = 15'h0008;
endcase
always @* begin
if (\initial ) begin end
dec30_cr_in = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h4:
dec30_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h5:
dec30_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h0:
dec30_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h1:
dec30_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h2:
dec30_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h3:
dec30_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h6:
dec30_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h7:
dec30_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h8:
dec30_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h9:
dec30_cr_in = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec30_cr_out = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h4:
dec30_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h5:
dec30_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h0:
dec30_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h1:
dec30_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h2:
dec30_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h3:
dec30_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h6:
dec30_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h7:
dec30_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h8:
dec30_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h9:
dec30_cr_out = 3'h1;
endcase
always @* begin
if (\initial ) begin end
dec30_sv_in1 = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h4:
dec30_sv_in1 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h5:
dec30_sv_in1 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h0:
dec30_sv_in1 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h1:
dec30_sv_in1 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h2:
dec30_sv_in1 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h3:
dec30_sv_in1 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h6:
dec30_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h7:
dec30_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h8:
dec30_sv_in1 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h9:
dec30_sv_in1 = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec30_sv_in2 = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h4:
dec30_sv_in2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h5:
dec30_sv_in2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h0:
dec30_sv_in2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h1:
dec30_sv_in2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h2:
dec30_sv_in2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h3:
dec30_sv_in2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h6:
dec30_sv_in2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h7:
dec30_sv_in2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h8:
dec30_sv_in2 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h9:
dec30_sv_in2 = 3'h2;
endcase
always @* begin
if (\initial ) begin end
dec30_sv_in3 = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h4:
dec30_sv_in3 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h5:
dec30_sv_in3 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h0:
dec30_sv_in3 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h1:
dec30_sv_in3 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h2:
dec30_sv_in3 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h3:
dec30_sv_in3 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h6:
dec30_sv_in3 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h7:
dec30_sv_in3 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h8:
dec30_sv_in3 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h9:
dec30_sv_in3 = 3'h3;
endcase
always @* begin
if (\initial ) begin end
dec30_sv_out = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h4:
dec30_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h5:
dec30_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h0:
dec30_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h1:
dec30_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h2:
dec30_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h3:
dec30_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h6:
dec30_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h7:
dec30_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h8:
dec30_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h9:
dec30_sv_out = 3'h1;
endcase
always @* begin
if (\initial ) begin end
dec30_sv_out2 = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h4:
dec30_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h5:
dec30_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h0:
dec30_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h1:
dec30_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h2:
dec30_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h3:
dec30_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h6:
dec30_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h7:
dec30_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h8:
dec30_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h9:
dec30_sv_out2 = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec30_sv_cr_in = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h4:
dec30_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h5:
dec30_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h0:
dec30_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h1:
dec30_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h2:
dec30_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h3:
dec30_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h6:
dec30_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h7:
dec30_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h8:
dec30_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h9:
dec30_sv_cr_in = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec30_sv_cr_out = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h4:
dec30_sv_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h5:
dec30_sv_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h0:
dec30_sv_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h1:
dec30_sv_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h2:
dec30_sv_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h3:
dec30_sv_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h6:
dec30_sv_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h7:
dec30_sv_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h8:
dec30_sv_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h9:
dec30_sv_cr_out = 3'h1;
endcase
always @* begin
if (\initial ) begin end
dec30_ldst_len = 4'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h4:
dec30_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h5:
dec30_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h0:
dec30_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h1:
dec30_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h2:
dec30_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h3:
dec30_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h6:
dec30_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h7:
dec30_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h8:
dec30_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h9:
dec30_ldst_len = 4'h0;
endcase
always @* begin
if (\initial ) begin end
dec30_internal_op = 7'h00;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h4:
dec30_internal_op = 7'h38;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h5:
dec30_internal_op = 7'h38;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h0:
dec30_internal_op = 7'h39;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h1:
dec30_internal_op = 7'h39;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h2:
dec30_internal_op = 7'h3a;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h3:
dec30_internal_op = 7'h3a;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h6:
dec30_internal_op = 7'h38;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h7:
dec30_internal_op = 7'h38;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h8:
dec30_internal_op = 7'h39;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h9:
dec30_internal_op = 7'h3a;
endcase
always @* begin
if (\initial ) begin end
dec30_upd = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h4:
dec30_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h5:
dec30_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h0:
dec30_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h1:
dec30_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h2:
dec30_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h3:
dec30_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h6:
dec30_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h7:
dec30_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h8:
dec30_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h9:
dec30_upd = 2'h0;
endcase
always @* begin
if (\initial ) begin end
dec30_rc_sel = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h4:
dec30_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h5:
dec30_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h0:
dec30_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h1:
dec30_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h2:
dec30_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h3:
dec30_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h6:
dec30_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h7:
dec30_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h8:
dec30_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h9:
dec30_rc_sel = 2'h2;
endcase
always @* begin
if (\initial ) begin end
dec30_cry_in = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h4:
dec30_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h5:
dec30_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h0:
dec30_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h1:
dec30_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h2:
dec30_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h3:
dec30_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h6:
dec30_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h7:
dec30_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h8:
dec30_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h9:
dec30_cry_in = 2'h0;
endcase
always @* begin
if (\initial ) begin end
dec30_asmcode = 8'h00;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h4:
dec30_asmcode = 8'hb4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h5:
dec30_asmcode = 8'hb4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h0:
dec30_asmcode = 8'hb5;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h1:
dec30_asmcode = 8'hb5;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h2:
dec30_asmcode = 8'hb6;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h3:
dec30_asmcode = 8'hb6;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h6:
dec30_asmcode = 8'hb7;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h7:
dec30_asmcode = 8'hb7;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h8:
dec30_asmcode = 8'hb2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h9:
dec30_asmcode = 8'hb3;
endcase
always @* begin
if (\initial ) begin end
dec30_inv_a = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h4:
dec30_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h5:
dec30_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h0:
dec30_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h1:
dec30_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h2:
dec30_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h3:
dec30_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h6:
dec30_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h7:
dec30_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h8:
dec30_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h9:
dec30_inv_a = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec30_inv_out = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h4:
dec30_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h5:
dec30_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h0:
dec30_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h1:
dec30_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h2:
dec30_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h3:
dec30_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h6:
dec30_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h7:
dec30_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h8:
dec30_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h9:
dec30_inv_out = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec30_cry_out = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h4:
dec30_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h5:
dec30_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h0:
dec30_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h1:
dec30_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h2:
dec30_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h3:
dec30_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h6:
dec30_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h7:
dec30_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h8:
dec30_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h9:
dec30_cry_out = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec30_br = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h4:
dec30_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h5:
dec30_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h0:
dec30_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h1:
dec30_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h2:
dec30_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h3:
dec30_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h6:
dec30_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h7:
dec30_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h8:
dec30_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h9:
dec30_br = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec30_sgn_ext = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h4:
dec30_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h5:
dec30_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h0:
dec30_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h1:
dec30_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h2:
dec30_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h3:
dec30_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h6:
dec30_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h7:
dec30_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h8:
dec30_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h9:
dec30_sgn_ext = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec30_rsrv = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h4:
dec30_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h5:
dec30_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h0:
dec30_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h1:
dec30_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h2:
dec30_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h3:
dec30_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h6:
dec30_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h7:
dec30_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h8:
dec30_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h9:
dec30_rsrv = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec30_form = 5'h00;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h4:
dec30_form = 5'h14;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h5:
dec30_form = 5'h14;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h0:
dec30_form = 5'h15;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h1:
dec30_form = 5'h15;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h2:
dec30_form = 5'h14;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h3:
dec30_form = 5'h14;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h6:
dec30_form = 5'h14;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h7:
dec30_form = 5'h14;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h8:
dec30_form = 5'h14;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h9:
dec30_form = 5'h14;
endcase
always @* begin
if (\initial ) begin end
dec30_is_32b = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h4:
dec30_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h5:
dec30_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h0:
dec30_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h1:
dec30_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h2:
dec30_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h3:
dec30_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h6:
dec30_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h7:
dec30_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h8:
dec30_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h9:
dec30_is_32b = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec30_sgn = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h4:
dec30_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h5:
dec30_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h0:
dec30_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h1:
dec30_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h2:
dec30_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h3:
dec30_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h6:
dec30_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h7:
dec30_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h8:
dec30_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h9:
dec30_sgn = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec30_lk = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h4:
dec30_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h5:
dec30_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h0:
dec30_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h1:
dec30_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h2:
dec30_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h3:
dec30_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h6:
dec30_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h7:
dec30_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h8:
dec30_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h9:
dec30_lk = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec30_sgl_pipe = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h4:
dec30_sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h5:
dec30_sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h0:
dec30_sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h1:
dec30_sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h2:
dec30_sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h3:
dec30_sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h6:
dec30_sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h7:
dec30_sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h8:
dec30_sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h9:
dec30_sgl_pipe = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec30_SV_Etype = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h4:
dec30_SV_Etype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h5:
dec30_SV_Etype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h0:
dec30_SV_Etype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h1:
dec30_SV_Etype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h2:
dec30_SV_Etype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h3:
dec30_SV_Etype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h6:
dec30_SV_Etype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h7:
dec30_SV_Etype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h8:
dec30_SV_Etype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h9:
dec30_SV_Etype = 2'h2;
endcase
always @* begin
if (\initial ) begin end
dec30_SV_Ptype = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h4:
dec30_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h5:
dec30_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h0:
dec30_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h1:
dec30_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h2:
dec30_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h3:
dec30_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h6:
dec30_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h7:
dec30_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h8:
dec30_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h9:
dec30_SV_Ptype = 2'h1;
endcase
always @* begin
if (\initial ) begin end
dec30_in1_sel = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h4:
dec30_in1_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h5:
dec30_in1_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h0:
dec30_in1_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h1:
dec30_in1_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h2:
dec30_in1_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h3:
dec30_in1_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h6:
dec30_in1_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h7:
dec30_in1_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h8:
dec30_in1_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h9:
dec30_in1_sel = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec30_in2_sel = 4'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h4:
dec30_in2_sel = 4'ha;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h5:
dec30_in2_sel = 4'ha;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h0:
dec30_in2_sel = 4'ha;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h1:
dec30_in2_sel = 4'ha;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h2:
dec30_in2_sel = 4'ha;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h3:
dec30_in2_sel = 4'ha;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h6:
dec30_in2_sel = 4'ha;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h7:
dec30_in2_sel = 4'ha;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h8:
dec30_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h9:
dec30_in2_sel = 4'h1;
endcase
always @* begin
if (\initial ) begin end
dec30_in3_sel = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h4:
dec30_in3_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h5:
dec30_in3_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h0:
dec30_in3_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h1:
dec30_in3_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h2:
dec30_in3_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h3:
dec30_in3_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h6:
dec30_in3_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h7:
dec30_in3_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h8:
dec30_in3_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h9:
dec30_in3_sel = 3'h1;
endcase
always @* begin
if (\initial ) begin end
dec30_out_sel = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h4:
dec30_out_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h5:
dec30_out_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h0:
dec30_out_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h1:
dec30_out_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h2:
dec30_out_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h3:
dec30_out_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h6:
dec30_out_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h7:
dec30_out_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h8:
dec30_out_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
4'h9:
dec30_out_sel = 3'h2;
endcase
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "EXTRA2" *)
(* enum_value_10 = "EXTRA3" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] dec31_SV_Etype;
reg [1:0] dec31_SV_Etype;
(* enum_base_type = "SVPtype" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "P1" *)
(* enum_value_10 = "P2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] dec31_SV_Ptype;
reg [1:0] dec31_SV_Ptype;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [7:0] dec31_asmcode;
reg [7:0] dec31_asmcode;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_br;
reg dec31_br;
(* enum_base_type = "CRInSel" *)
(* enum_value_101 = "BC" *)
(* enum_value_110 = "WHOLE_REG" *)
(* enum_value_111 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_cr_in;
reg [2:0] dec31_cr_in;
(* enum_base_type = "CROutSel" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_cr_out;
reg [2:0] dec31_cr_out;
(* enum_base_type = "CryIn" *)
(* enum_value_00 = "ZERO" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "CA" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] dec31_cry_in;
reg [1:0] dec31_cry_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_cry_out;
reg dec31_cry_out;
(* enum_base_type = "SVEtype" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "EXTRA2" *)
(* enum_value_10 = "EXTRA3" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec31_dec_sub0_dec31_dec_sub0_SV_Etype;
(* enum_base_type = "SVPtype" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "P1" *)
(* enum_value_10 = "P2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec31_dec_sub0_dec31_dec_sub0_SV_Ptype;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [7:0] dec31_dec_sub0_dec31_dec_sub0_asmcode;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub0_dec31_dec_sub0_br;
(* enum_base_type = "CRInSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_101 = "BC" *)
(* enum_value_110 = "WHOLE_REG" *)
(* enum_value_111 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub0_dec31_dec_sub0_cr_in;
(* enum_base_type = "CROutSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub0_dec31_dec_sub0_cr_out;
(* enum_base_type = "CryIn" *)
(* enum_value_00 = "ZERO" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "CA" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec31_dec_sub0_dec31_dec_sub0_cry_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub0_dec31_dec_sub0_cry_out;
(* enum_base_type = "Form" *)
(* enum_value_00000 = "NONE" *)
(* enum_value_11011 = "Z22" *)
(* enum_value_11100 = "Z23" *)
(* enum_value_11101 = "SVL" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [4:0] dec31_dec_sub0_dec31_dec_sub0_form;
(* enum_base_type = "Function" *)
(* enum_value_000000000000000 = "NONE" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [14:0] dec31_dec_sub0_dec31_dec_sub0_function_unit;
(* enum_base_type = "In1Sel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_100 = "RS" *)
(* enum_value_101 = "FRA" *)
(* enum_value_110 = "FRS" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub0_dec31_dec_sub0_in1_sel;
(* enum_base_type = "In2Sel" *)
(* enum_value_0000 = "NONE" *)
(* enum_value_1100 = "SPR" *)
(* enum_value_1101 = "RS" *)
(* enum_value_1110 = "FRB" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [3:0] dec31_dec_sub0_dec31_dec_sub0_in2_sel;
(* enum_base_type = "In3Sel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_010 = "RB" *)
(* enum_value_011 = "FRS" *)
(* enum_value_100 = "FRC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub0_dec31_dec_sub0_in3_sel;
(* enum_base_type = "MicrOp" *)
(* enum_value_0000000 = "OP_ILLEGAL" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [6:0] dec31_dec_sub0_dec31_dec_sub0_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub0_dec31_dec_sub0_inv_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub0_dec31_dec_sub0_inv_out;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub0_dec31_dec_sub0_is_32b;
(* enum_base_type = "LdstLen" *)
(* enum_value_0000 = "NONE" *)
(* enum_value_0010 = "is2B" *)
(* enum_value_0100 = "is4B" *)
(* enum_value_1000 = "is8B" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [3:0] dec31_dec_sub0_dec31_dec_sub0_ldst_len;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub0_dec31_dec_sub0_lk;
(* enum_base_type = "OutSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "SPR" *)
(* enum_value_100 = "RT_OR_ZERO" *)
(* enum_value_101 = "FRT" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub0_dec31_dec_sub0_out_sel;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec31_dec_sub0_dec31_dec_sub0_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub0_dec31_dec_sub0_rsrv;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub0_dec31_dec_sub0_sgl_pipe;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub0_dec31_dec_sub0_sgn;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub0_dec31_dec_sub0_sgn_ext;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub0_dec31_dec_sub0_sv_cr_in;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub0_dec31_dec_sub0_sv_cr_out;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub0_dec31_dec_sub0_sv_in1;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub0_dec31_dec_sub0_sv_in2;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub0_dec31_dec_sub0_sv_in3;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub0_dec31_dec_sub0_sv_out;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub0_dec31_dec_sub0_sv_out2;
(* enum_base_type = "LDSTMode" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "update" *)
(* enum_value_10 = "cix" *)
(* enum_value_11 = "cx" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec31_dec_sub0_dec31_dec_sub0_upd;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
wire [31:0] dec31_dec_sub0_opcode_in;
(* enum_base_type = "SVEtype" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "EXTRA2" *)
(* enum_value_10 = "EXTRA3" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec31_dec_sub10_dec31_dec_sub10_SV_Etype;
(* enum_base_type = "SVPtype" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "P1" *)
(* enum_value_10 = "P2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec31_dec_sub10_dec31_dec_sub10_SV_Ptype;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [7:0] dec31_dec_sub10_dec31_dec_sub10_asmcode;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub10_dec31_dec_sub10_br;
(* enum_base_type = "CRInSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_101 = "BC" *)
(* enum_value_110 = "WHOLE_REG" *)
(* enum_value_111 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub10_dec31_dec_sub10_cr_in;
(* enum_base_type = "CROutSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub10_dec31_dec_sub10_cr_out;
(* enum_base_type = "CryIn" *)
(* enum_value_00 = "ZERO" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "CA" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec31_dec_sub10_dec31_dec_sub10_cry_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub10_dec31_dec_sub10_cry_out;
(* enum_base_type = "Form" *)
(* enum_value_00000 = "NONE" *)
(* enum_value_11011 = "Z22" *)
(* enum_value_11100 = "Z23" *)
(* enum_value_11101 = "SVL" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [4:0] dec31_dec_sub10_dec31_dec_sub10_form;
(* enum_base_type = "Function" *)
(* enum_value_000000000000000 = "NONE" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [14:0] dec31_dec_sub10_dec31_dec_sub10_function_unit;
(* enum_base_type = "In1Sel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_100 = "RS" *)
(* enum_value_101 = "FRA" *)
(* enum_value_110 = "FRS" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub10_dec31_dec_sub10_in1_sel;
(* enum_base_type = "In2Sel" *)
(* enum_value_0000 = "NONE" *)
(* enum_value_1100 = "SPR" *)
(* enum_value_1101 = "RS" *)
(* enum_value_1110 = "FRB" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [3:0] dec31_dec_sub10_dec31_dec_sub10_in2_sel;
(* enum_base_type = "In3Sel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_010 = "RB" *)
(* enum_value_011 = "FRS" *)
(* enum_value_100 = "FRC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub10_dec31_dec_sub10_in3_sel;
(* enum_base_type = "MicrOp" *)
(* enum_value_0000000 = "OP_ILLEGAL" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [6:0] dec31_dec_sub10_dec31_dec_sub10_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub10_dec31_dec_sub10_inv_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub10_dec31_dec_sub10_inv_out;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub10_dec31_dec_sub10_is_32b;
(* enum_base_type = "LdstLen" *)
(* enum_value_0000 = "NONE" *)
(* enum_value_0010 = "is2B" *)
(* enum_value_0100 = "is4B" *)
(* enum_value_1000 = "is8B" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [3:0] dec31_dec_sub10_dec31_dec_sub10_ldst_len;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub10_dec31_dec_sub10_lk;
(* enum_base_type = "OutSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "SPR" *)
(* enum_value_100 = "RT_OR_ZERO" *)
(* enum_value_101 = "FRT" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub10_dec31_dec_sub10_out_sel;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec31_dec_sub10_dec31_dec_sub10_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub10_dec31_dec_sub10_rsrv;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub10_dec31_dec_sub10_sgl_pipe;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub10_dec31_dec_sub10_sgn;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub10_dec31_dec_sub10_sgn_ext;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub10_dec31_dec_sub10_sv_cr_in;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub10_dec31_dec_sub10_sv_cr_out;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub10_dec31_dec_sub10_sv_in1;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub10_dec31_dec_sub10_sv_in2;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub10_dec31_dec_sub10_sv_in3;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub10_dec31_dec_sub10_sv_out;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub10_dec31_dec_sub10_sv_out2;
(* enum_base_type = "LDSTMode" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "update" *)
(* enum_value_10 = "cix" *)
(* enum_value_11 = "cx" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec31_dec_sub10_dec31_dec_sub10_upd;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
wire [31:0] dec31_dec_sub10_opcode_in;
(* enum_base_type = "SVEtype" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "EXTRA2" *)
(* enum_value_10 = "EXTRA3" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec31_dec_sub11_dec31_dec_sub11_SV_Etype;
(* enum_base_type = "SVPtype" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "P1" *)
(* enum_value_10 = "P2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec31_dec_sub11_dec31_dec_sub11_SV_Ptype;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [7:0] dec31_dec_sub11_dec31_dec_sub11_asmcode;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub11_dec31_dec_sub11_br;
(* enum_base_type = "CRInSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_101 = "BC" *)
(* enum_value_110 = "WHOLE_REG" *)
(* enum_value_111 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub11_dec31_dec_sub11_cr_in;
(* enum_base_type = "CROutSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub11_dec31_dec_sub11_cr_out;
(* enum_base_type = "CryIn" *)
(* enum_value_00 = "ZERO" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "CA" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec31_dec_sub11_dec31_dec_sub11_cry_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub11_dec31_dec_sub11_cry_out;
(* enum_base_type = "Form" *)
(* enum_value_00000 = "NONE" *)
(* enum_value_11011 = "Z22" *)
(* enum_value_11100 = "Z23" *)
(* enum_value_11101 = "SVL" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [4:0] dec31_dec_sub11_dec31_dec_sub11_form;
(* enum_base_type = "Function" *)
(* enum_value_000000000000000 = "NONE" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [14:0] dec31_dec_sub11_dec31_dec_sub11_function_unit;
(* enum_base_type = "In1Sel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_100 = "RS" *)
(* enum_value_101 = "FRA" *)
(* enum_value_110 = "FRS" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub11_dec31_dec_sub11_in1_sel;
(* enum_base_type = "In2Sel" *)
(* enum_value_0000 = "NONE" *)
(* enum_value_1100 = "SPR" *)
(* enum_value_1101 = "RS" *)
(* enum_value_1110 = "FRB" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [3:0] dec31_dec_sub11_dec31_dec_sub11_in2_sel;
(* enum_base_type = "In3Sel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_010 = "RB" *)
(* enum_value_011 = "FRS" *)
(* enum_value_100 = "FRC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub11_dec31_dec_sub11_in3_sel;
(* enum_base_type = "MicrOp" *)
(* enum_value_0000000 = "OP_ILLEGAL" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [6:0] dec31_dec_sub11_dec31_dec_sub11_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub11_dec31_dec_sub11_inv_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub11_dec31_dec_sub11_inv_out;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub11_dec31_dec_sub11_is_32b;
(* enum_base_type = "LdstLen" *)
(* enum_value_0000 = "NONE" *)
(* enum_value_0010 = "is2B" *)
(* enum_value_0100 = "is4B" *)
(* enum_value_1000 = "is8B" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [3:0] dec31_dec_sub11_dec31_dec_sub11_ldst_len;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub11_dec31_dec_sub11_lk;
(* enum_base_type = "OutSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "SPR" *)
(* enum_value_100 = "RT_OR_ZERO" *)
(* enum_value_101 = "FRT" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub11_dec31_dec_sub11_out_sel;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec31_dec_sub11_dec31_dec_sub11_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub11_dec31_dec_sub11_rsrv;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub11_dec31_dec_sub11_sgl_pipe;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub11_dec31_dec_sub11_sgn;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub11_dec31_dec_sub11_sgn_ext;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub11_dec31_dec_sub11_sv_cr_in;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub11_dec31_dec_sub11_sv_cr_out;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub11_dec31_dec_sub11_sv_in1;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub11_dec31_dec_sub11_sv_in2;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub11_dec31_dec_sub11_sv_in3;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub11_dec31_dec_sub11_sv_out;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub11_dec31_dec_sub11_sv_out2;
(* enum_base_type = "LDSTMode" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "update" *)
(* enum_value_10 = "cix" *)
(* enum_value_11 = "cx" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec31_dec_sub11_dec31_dec_sub11_upd;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
wire [31:0] dec31_dec_sub11_opcode_in;
(* enum_base_type = "SVEtype" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "EXTRA2" *)
(* enum_value_10 = "EXTRA3" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec31_dec_sub15_dec31_dec_sub15_SV_Etype;
(* enum_base_type = "SVPtype" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "P1" *)
(* enum_value_10 = "P2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec31_dec_sub15_dec31_dec_sub15_SV_Ptype;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [7:0] dec31_dec_sub15_dec31_dec_sub15_asmcode;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub15_dec31_dec_sub15_br;
(* enum_base_type = "CRInSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_101 = "BC" *)
(* enum_value_110 = "WHOLE_REG" *)
(* enum_value_111 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub15_dec31_dec_sub15_cr_in;
(* enum_base_type = "CROutSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub15_dec31_dec_sub15_cr_out;
(* enum_base_type = "CryIn" *)
(* enum_value_00 = "ZERO" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "CA" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec31_dec_sub15_dec31_dec_sub15_cry_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub15_dec31_dec_sub15_cry_out;
(* enum_base_type = "Form" *)
(* enum_value_00000 = "NONE" *)
(* enum_value_11011 = "Z22" *)
(* enum_value_11100 = "Z23" *)
(* enum_value_11101 = "SVL" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [4:0] dec31_dec_sub15_dec31_dec_sub15_form;
(* enum_base_type = "Function" *)
(* enum_value_000000000000000 = "NONE" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [14:0] dec31_dec_sub15_dec31_dec_sub15_function_unit;
(* enum_base_type = "In1Sel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_100 = "RS" *)
(* enum_value_101 = "FRA" *)
(* enum_value_110 = "FRS" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub15_dec31_dec_sub15_in1_sel;
(* enum_base_type = "In2Sel" *)
(* enum_value_0000 = "NONE" *)
(* enum_value_1100 = "SPR" *)
(* enum_value_1101 = "RS" *)
(* enum_value_1110 = "FRB" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [3:0] dec31_dec_sub15_dec31_dec_sub15_in2_sel;
(* enum_base_type = "In3Sel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_010 = "RB" *)
(* enum_value_011 = "FRS" *)
(* enum_value_100 = "FRC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub15_dec31_dec_sub15_in3_sel;
(* enum_base_type = "MicrOp" *)
(* enum_value_0000000 = "OP_ILLEGAL" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [6:0] dec31_dec_sub15_dec31_dec_sub15_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub15_dec31_dec_sub15_inv_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub15_dec31_dec_sub15_inv_out;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub15_dec31_dec_sub15_is_32b;
(* enum_base_type = "LdstLen" *)
(* enum_value_0000 = "NONE" *)
(* enum_value_0010 = "is2B" *)
(* enum_value_0100 = "is4B" *)
(* enum_value_1000 = "is8B" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [3:0] dec31_dec_sub15_dec31_dec_sub15_ldst_len;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub15_dec31_dec_sub15_lk;
(* enum_base_type = "OutSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "SPR" *)
(* enum_value_100 = "RT_OR_ZERO" *)
(* enum_value_101 = "FRT" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub15_dec31_dec_sub15_out_sel;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec31_dec_sub15_dec31_dec_sub15_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub15_dec31_dec_sub15_rsrv;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub15_dec31_dec_sub15_sgl_pipe;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub15_dec31_dec_sub15_sgn;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub15_dec31_dec_sub15_sgn_ext;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub15_dec31_dec_sub15_sv_cr_in;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub15_dec31_dec_sub15_sv_cr_out;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub15_dec31_dec_sub15_sv_in1;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub15_dec31_dec_sub15_sv_in2;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub15_dec31_dec_sub15_sv_in3;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub15_dec31_dec_sub15_sv_out;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub15_dec31_dec_sub15_sv_out2;
(* enum_base_type = "LDSTMode" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "update" *)
(* enum_value_10 = "cix" *)
(* enum_value_11 = "cx" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec31_dec_sub15_dec31_dec_sub15_upd;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
wire [31:0] dec31_dec_sub15_opcode_in;
(* enum_base_type = "SVEtype" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "EXTRA2" *)
(* enum_value_10 = "EXTRA3" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec31_dec_sub16_dec31_dec_sub16_SV_Etype;
(* enum_base_type = "SVPtype" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "P1" *)
(* enum_value_10 = "P2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec31_dec_sub16_dec31_dec_sub16_SV_Ptype;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [7:0] dec31_dec_sub16_dec31_dec_sub16_asmcode;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub16_dec31_dec_sub16_br;
(* enum_base_type = "CRInSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_101 = "BC" *)
(* enum_value_110 = "WHOLE_REG" *)
(* enum_value_111 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub16_dec31_dec_sub16_cr_in;
(* enum_base_type = "CROutSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub16_dec31_dec_sub16_cr_out;
(* enum_base_type = "CryIn" *)
(* enum_value_00 = "ZERO" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "CA" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec31_dec_sub16_dec31_dec_sub16_cry_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub16_dec31_dec_sub16_cry_out;
(* enum_base_type = "Form" *)
(* enum_value_00000 = "NONE" *)
(* enum_value_11011 = "Z22" *)
(* enum_value_11100 = "Z23" *)
(* enum_value_11101 = "SVL" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [4:0] dec31_dec_sub16_dec31_dec_sub16_form;
(* enum_base_type = "Function" *)
(* enum_value_000000000000000 = "NONE" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [14:0] dec31_dec_sub16_dec31_dec_sub16_function_unit;
(* enum_base_type = "In1Sel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_100 = "RS" *)
(* enum_value_101 = "FRA" *)
(* enum_value_110 = "FRS" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub16_dec31_dec_sub16_in1_sel;
(* enum_base_type = "In2Sel" *)
(* enum_value_0000 = "NONE" *)
(* enum_value_1100 = "SPR" *)
(* enum_value_1101 = "RS" *)
(* enum_value_1110 = "FRB" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [3:0] dec31_dec_sub16_dec31_dec_sub16_in2_sel;
(* enum_base_type = "In3Sel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_010 = "RB" *)
(* enum_value_011 = "FRS" *)
(* enum_value_100 = "FRC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub16_dec31_dec_sub16_in3_sel;
(* enum_base_type = "MicrOp" *)
(* enum_value_0000000 = "OP_ILLEGAL" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [6:0] dec31_dec_sub16_dec31_dec_sub16_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub16_dec31_dec_sub16_inv_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub16_dec31_dec_sub16_inv_out;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub16_dec31_dec_sub16_is_32b;
(* enum_base_type = "LdstLen" *)
(* enum_value_0000 = "NONE" *)
(* enum_value_0010 = "is2B" *)
(* enum_value_0100 = "is4B" *)
(* enum_value_1000 = "is8B" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [3:0] dec31_dec_sub16_dec31_dec_sub16_ldst_len;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub16_dec31_dec_sub16_lk;
(* enum_base_type = "OutSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "SPR" *)
(* enum_value_100 = "RT_OR_ZERO" *)
(* enum_value_101 = "FRT" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub16_dec31_dec_sub16_out_sel;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec31_dec_sub16_dec31_dec_sub16_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub16_dec31_dec_sub16_rsrv;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub16_dec31_dec_sub16_sgl_pipe;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub16_dec31_dec_sub16_sgn;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub16_dec31_dec_sub16_sgn_ext;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub16_dec31_dec_sub16_sv_cr_in;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub16_dec31_dec_sub16_sv_cr_out;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub16_dec31_dec_sub16_sv_in1;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub16_dec31_dec_sub16_sv_in2;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub16_dec31_dec_sub16_sv_in3;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub16_dec31_dec_sub16_sv_out;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub16_dec31_dec_sub16_sv_out2;
(* enum_base_type = "LDSTMode" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "update" *)
(* enum_value_10 = "cix" *)
(* enum_value_11 = "cx" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec31_dec_sub16_dec31_dec_sub16_upd;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
wire [31:0] dec31_dec_sub16_opcode_in;
(* enum_base_type = "SVEtype" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "EXTRA2" *)
(* enum_value_10 = "EXTRA3" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec31_dec_sub18_dec31_dec_sub18_SV_Etype;
(* enum_base_type = "SVPtype" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "P1" *)
(* enum_value_10 = "P2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec31_dec_sub18_dec31_dec_sub18_SV_Ptype;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [7:0] dec31_dec_sub18_dec31_dec_sub18_asmcode;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub18_dec31_dec_sub18_br;
(* enum_base_type = "CRInSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_101 = "BC" *)
(* enum_value_110 = "WHOLE_REG" *)
(* enum_value_111 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub18_dec31_dec_sub18_cr_in;
(* enum_base_type = "CROutSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub18_dec31_dec_sub18_cr_out;
(* enum_base_type = "CryIn" *)
(* enum_value_00 = "ZERO" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "CA" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec31_dec_sub18_dec31_dec_sub18_cry_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub18_dec31_dec_sub18_cry_out;
(* enum_base_type = "Form" *)
(* enum_value_00000 = "NONE" *)
(* enum_value_11011 = "Z22" *)
(* enum_value_11100 = "Z23" *)
(* enum_value_11101 = "SVL" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [4:0] dec31_dec_sub18_dec31_dec_sub18_form;
(* enum_base_type = "Function" *)
(* enum_value_000000000000000 = "NONE" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [14:0] dec31_dec_sub18_dec31_dec_sub18_function_unit;
(* enum_base_type = "In1Sel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_100 = "RS" *)
(* enum_value_101 = "FRA" *)
(* enum_value_110 = "FRS" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub18_dec31_dec_sub18_in1_sel;
(* enum_base_type = "In2Sel" *)
(* enum_value_0000 = "NONE" *)
(* enum_value_1100 = "SPR" *)
(* enum_value_1101 = "RS" *)
(* enum_value_1110 = "FRB" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [3:0] dec31_dec_sub18_dec31_dec_sub18_in2_sel;
(* enum_base_type = "In3Sel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_010 = "RB" *)
(* enum_value_011 = "FRS" *)
(* enum_value_100 = "FRC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub18_dec31_dec_sub18_in3_sel;
(* enum_base_type = "MicrOp" *)
(* enum_value_0000000 = "OP_ILLEGAL" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [6:0] dec31_dec_sub18_dec31_dec_sub18_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub18_dec31_dec_sub18_inv_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub18_dec31_dec_sub18_inv_out;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub18_dec31_dec_sub18_is_32b;
(* enum_base_type = "LdstLen" *)
(* enum_value_0000 = "NONE" *)
(* enum_value_0010 = "is2B" *)
(* enum_value_0100 = "is4B" *)
(* enum_value_1000 = "is8B" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [3:0] dec31_dec_sub18_dec31_dec_sub18_ldst_len;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub18_dec31_dec_sub18_lk;
(* enum_base_type = "OutSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "SPR" *)
(* enum_value_100 = "RT_OR_ZERO" *)
(* enum_value_101 = "FRT" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub18_dec31_dec_sub18_out_sel;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec31_dec_sub18_dec31_dec_sub18_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub18_dec31_dec_sub18_rsrv;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub18_dec31_dec_sub18_sgl_pipe;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub18_dec31_dec_sub18_sgn;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub18_dec31_dec_sub18_sgn_ext;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub18_dec31_dec_sub18_sv_cr_in;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub18_dec31_dec_sub18_sv_cr_out;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub18_dec31_dec_sub18_sv_in1;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub18_dec31_dec_sub18_sv_in2;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub18_dec31_dec_sub18_sv_in3;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub18_dec31_dec_sub18_sv_out;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub18_dec31_dec_sub18_sv_out2;
(* enum_base_type = "LDSTMode" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "update" *)
(* enum_value_10 = "cix" *)
(* enum_value_11 = "cx" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec31_dec_sub18_dec31_dec_sub18_upd;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
wire [31:0] dec31_dec_sub18_opcode_in;
(* enum_base_type = "SVEtype" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "EXTRA2" *)
(* enum_value_10 = "EXTRA3" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec31_dec_sub19_dec31_dec_sub19_SV_Etype;
(* enum_base_type = "SVPtype" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "P1" *)
(* enum_value_10 = "P2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec31_dec_sub19_dec31_dec_sub19_SV_Ptype;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [7:0] dec31_dec_sub19_dec31_dec_sub19_asmcode;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub19_dec31_dec_sub19_br;
(* enum_base_type = "CRInSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_101 = "BC" *)
(* enum_value_110 = "WHOLE_REG" *)
(* enum_value_111 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub19_dec31_dec_sub19_cr_in;
(* enum_base_type = "CROutSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub19_dec31_dec_sub19_cr_out;
(* enum_base_type = "CryIn" *)
(* enum_value_00 = "ZERO" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "CA" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec31_dec_sub19_dec31_dec_sub19_cry_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub19_dec31_dec_sub19_cry_out;
(* enum_base_type = "Form" *)
(* enum_value_00000 = "NONE" *)
(* enum_value_11011 = "Z22" *)
(* enum_value_11100 = "Z23" *)
(* enum_value_11101 = "SVL" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [4:0] dec31_dec_sub19_dec31_dec_sub19_form;
(* enum_base_type = "Function" *)
(* enum_value_000000000000000 = "NONE" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [14:0] dec31_dec_sub19_dec31_dec_sub19_function_unit;
(* enum_base_type = "In1Sel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_100 = "RS" *)
(* enum_value_101 = "FRA" *)
(* enum_value_110 = "FRS" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub19_dec31_dec_sub19_in1_sel;
(* enum_base_type = "In2Sel" *)
(* enum_value_0000 = "NONE" *)
(* enum_value_1100 = "SPR" *)
(* enum_value_1101 = "RS" *)
(* enum_value_1110 = "FRB" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [3:0] dec31_dec_sub19_dec31_dec_sub19_in2_sel;
(* enum_base_type = "In3Sel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_010 = "RB" *)
(* enum_value_011 = "FRS" *)
(* enum_value_100 = "FRC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub19_dec31_dec_sub19_in3_sel;
(* enum_base_type = "MicrOp" *)
(* enum_value_0000000 = "OP_ILLEGAL" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [6:0] dec31_dec_sub19_dec31_dec_sub19_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub19_dec31_dec_sub19_inv_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub19_dec31_dec_sub19_inv_out;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub19_dec31_dec_sub19_is_32b;
(* enum_base_type = "LdstLen" *)
(* enum_value_0000 = "NONE" *)
(* enum_value_0010 = "is2B" *)
(* enum_value_0100 = "is4B" *)
(* enum_value_1000 = "is8B" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [3:0] dec31_dec_sub19_dec31_dec_sub19_ldst_len;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub19_dec31_dec_sub19_lk;
(* enum_base_type = "OutSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "SPR" *)
(* enum_value_100 = "RT_OR_ZERO" *)
(* enum_value_101 = "FRT" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub19_dec31_dec_sub19_out_sel;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec31_dec_sub19_dec31_dec_sub19_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub19_dec31_dec_sub19_rsrv;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub19_dec31_dec_sub19_sgl_pipe;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub19_dec31_dec_sub19_sgn;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub19_dec31_dec_sub19_sgn_ext;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub19_dec31_dec_sub19_sv_cr_in;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub19_dec31_dec_sub19_sv_cr_out;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub19_dec31_dec_sub19_sv_in1;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub19_dec31_dec_sub19_sv_in2;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub19_dec31_dec_sub19_sv_in3;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub19_dec31_dec_sub19_sv_out;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub19_dec31_dec_sub19_sv_out2;
(* enum_base_type = "LDSTMode" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "update" *)
(* enum_value_10 = "cix" *)
(* enum_value_11 = "cx" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec31_dec_sub19_dec31_dec_sub19_upd;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
wire [31:0] dec31_dec_sub19_opcode_in;
(* enum_base_type = "SVEtype" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "EXTRA2" *)
(* enum_value_10 = "EXTRA3" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec31_dec_sub20_dec31_dec_sub20_SV_Etype;
(* enum_base_type = "SVPtype" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "P1" *)
(* enum_value_10 = "P2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec31_dec_sub20_dec31_dec_sub20_SV_Ptype;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [7:0] dec31_dec_sub20_dec31_dec_sub20_asmcode;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub20_dec31_dec_sub20_br;
(* enum_base_type = "CRInSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_101 = "BC" *)
(* enum_value_110 = "WHOLE_REG" *)
(* enum_value_111 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub20_dec31_dec_sub20_cr_in;
(* enum_base_type = "CROutSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub20_dec31_dec_sub20_cr_out;
(* enum_base_type = "CryIn" *)
(* enum_value_00 = "ZERO" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "CA" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec31_dec_sub20_dec31_dec_sub20_cry_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub20_dec31_dec_sub20_cry_out;
(* enum_base_type = "Form" *)
(* enum_value_00000 = "NONE" *)
(* enum_value_11011 = "Z22" *)
(* enum_value_11100 = "Z23" *)
(* enum_value_11101 = "SVL" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [4:0] dec31_dec_sub20_dec31_dec_sub20_form;
(* enum_base_type = "Function" *)
(* enum_value_000000000000000 = "NONE" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [14:0] dec31_dec_sub20_dec31_dec_sub20_function_unit;
(* enum_base_type = "In1Sel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_100 = "RS" *)
(* enum_value_101 = "FRA" *)
(* enum_value_110 = "FRS" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub20_dec31_dec_sub20_in1_sel;
(* enum_base_type = "In2Sel" *)
(* enum_value_0000 = "NONE" *)
(* enum_value_1100 = "SPR" *)
(* enum_value_1101 = "RS" *)
(* enum_value_1110 = "FRB" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [3:0] dec31_dec_sub20_dec31_dec_sub20_in2_sel;
(* enum_base_type = "In3Sel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_010 = "RB" *)
(* enum_value_011 = "FRS" *)
(* enum_value_100 = "FRC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub20_dec31_dec_sub20_in3_sel;
(* enum_base_type = "MicrOp" *)
(* enum_value_0000000 = "OP_ILLEGAL" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [6:0] dec31_dec_sub20_dec31_dec_sub20_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub20_dec31_dec_sub20_inv_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub20_dec31_dec_sub20_inv_out;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub20_dec31_dec_sub20_is_32b;
(* enum_base_type = "LdstLen" *)
(* enum_value_0000 = "NONE" *)
(* enum_value_0010 = "is2B" *)
(* enum_value_0100 = "is4B" *)
(* enum_value_1000 = "is8B" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [3:0] dec31_dec_sub20_dec31_dec_sub20_ldst_len;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub20_dec31_dec_sub20_lk;
(* enum_base_type = "OutSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "SPR" *)
(* enum_value_100 = "RT_OR_ZERO" *)
(* enum_value_101 = "FRT" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub20_dec31_dec_sub20_out_sel;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec31_dec_sub20_dec31_dec_sub20_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub20_dec31_dec_sub20_rsrv;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub20_dec31_dec_sub20_sgl_pipe;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub20_dec31_dec_sub20_sgn;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub20_dec31_dec_sub20_sgn_ext;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub20_dec31_dec_sub20_sv_cr_in;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub20_dec31_dec_sub20_sv_cr_out;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub20_dec31_dec_sub20_sv_in1;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub20_dec31_dec_sub20_sv_in2;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub20_dec31_dec_sub20_sv_in3;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub20_dec31_dec_sub20_sv_out;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub20_dec31_dec_sub20_sv_out2;
(* enum_base_type = "LDSTMode" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "update" *)
(* enum_value_10 = "cix" *)
(* enum_value_11 = "cx" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec31_dec_sub20_dec31_dec_sub20_upd;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
wire [31:0] dec31_dec_sub20_opcode_in;
(* enum_base_type = "SVEtype" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "EXTRA2" *)
(* enum_value_10 = "EXTRA3" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec31_dec_sub21_dec31_dec_sub21_SV_Etype;
(* enum_base_type = "SVPtype" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "P1" *)
(* enum_value_10 = "P2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec31_dec_sub21_dec31_dec_sub21_SV_Ptype;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [7:0] dec31_dec_sub21_dec31_dec_sub21_asmcode;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub21_dec31_dec_sub21_br;
(* enum_base_type = "CRInSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_101 = "BC" *)
(* enum_value_110 = "WHOLE_REG" *)
(* enum_value_111 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub21_dec31_dec_sub21_cr_in;
(* enum_base_type = "CROutSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub21_dec31_dec_sub21_cr_out;
(* enum_base_type = "CryIn" *)
(* enum_value_00 = "ZERO" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "CA" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec31_dec_sub21_dec31_dec_sub21_cry_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub21_dec31_dec_sub21_cry_out;
(* enum_base_type = "Form" *)
(* enum_value_00000 = "NONE" *)
(* enum_value_11011 = "Z22" *)
(* enum_value_11100 = "Z23" *)
(* enum_value_11101 = "SVL" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [4:0] dec31_dec_sub21_dec31_dec_sub21_form;
(* enum_base_type = "Function" *)
(* enum_value_000000000000000 = "NONE" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [14:0] dec31_dec_sub21_dec31_dec_sub21_function_unit;
(* enum_base_type = "In1Sel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_100 = "RS" *)
(* enum_value_101 = "FRA" *)
(* enum_value_110 = "FRS" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub21_dec31_dec_sub21_in1_sel;
(* enum_base_type = "In2Sel" *)
(* enum_value_0000 = "NONE" *)
(* enum_value_1100 = "SPR" *)
(* enum_value_1101 = "RS" *)
(* enum_value_1110 = "FRB" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [3:0] dec31_dec_sub21_dec31_dec_sub21_in2_sel;
(* enum_base_type = "In3Sel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_010 = "RB" *)
(* enum_value_011 = "FRS" *)
(* enum_value_100 = "FRC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub21_dec31_dec_sub21_in3_sel;
(* enum_base_type = "MicrOp" *)
(* enum_value_0000000 = "OP_ILLEGAL" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [6:0] dec31_dec_sub21_dec31_dec_sub21_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub21_dec31_dec_sub21_inv_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub21_dec31_dec_sub21_inv_out;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub21_dec31_dec_sub21_is_32b;
(* enum_base_type = "LdstLen" *)
(* enum_value_0000 = "NONE" *)
(* enum_value_0010 = "is2B" *)
(* enum_value_0100 = "is4B" *)
(* enum_value_1000 = "is8B" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [3:0] dec31_dec_sub21_dec31_dec_sub21_ldst_len;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub21_dec31_dec_sub21_lk;
(* enum_base_type = "OutSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "SPR" *)
(* enum_value_100 = "RT_OR_ZERO" *)
(* enum_value_101 = "FRT" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub21_dec31_dec_sub21_out_sel;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec31_dec_sub21_dec31_dec_sub21_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub21_dec31_dec_sub21_rsrv;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub21_dec31_dec_sub21_sgl_pipe;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub21_dec31_dec_sub21_sgn;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub21_dec31_dec_sub21_sgn_ext;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub21_dec31_dec_sub21_sv_cr_in;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub21_dec31_dec_sub21_sv_cr_out;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub21_dec31_dec_sub21_sv_in1;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub21_dec31_dec_sub21_sv_in2;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub21_dec31_dec_sub21_sv_in3;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub21_dec31_dec_sub21_sv_out;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub21_dec31_dec_sub21_sv_out2;
(* enum_base_type = "LDSTMode" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "update" *)
(* enum_value_10 = "cix" *)
(* enum_value_11 = "cx" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec31_dec_sub21_dec31_dec_sub21_upd;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
wire [31:0] dec31_dec_sub21_opcode_in;
(* enum_base_type = "SVEtype" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "EXTRA2" *)
(* enum_value_10 = "EXTRA3" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec31_dec_sub22_dec31_dec_sub22_SV_Etype;
(* enum_base_type = "SVPtype" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "P1" *)
(* enum_value_10 = "P2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec31_dec_sub22_dec31_dec_sub22_SV_Ptype;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [7:0] dec31_dec_sub22_dec31_dec_sub22_asmcode;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub22_dec31_dec_sub22_br;
(* enum_base_type = "CRInSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_101 = "BC" *)
(* enum_value_110 = "WHOLE_REG" *)
(* enum_value_111 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub22_dec31_dec_sub22_cr_in;
(* enum_base_type = "CROutSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub22_dec31_dec_sub22_cr_out;
(* enum_base_type = "CryIn" *)
(* enum_value_00 = "ZERO" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "CA" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec31_dec_sub22_dec31_dec_sub22_cry_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub22_dec31_dec_sub22_cry_out;
(* enum_base_type = "Form" *)
(* enum_value_00000 = "NONE" *)
(* enum_value_11011 = "Z22" *)
(* enum_value_11100 = "Z23" *)
(* enum_value_11101 = "SVL" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [4:0] dec31_dec_sub22_dec31_dec_sub22_form;
(* enum_base_type = "Function" *)
(* enum_value_000000000000000 = "NONE" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [14:0] dec31_dec_sub22_dec31_dec_sub22_function_unit;
(* enum_base_type = "In1Sel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_100 = "RS" *)
(* enum_value_101 = "FRA" *)
(* enum_value_110 = "FRS" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub22_dec31_dec_sub22_in1_sel;
(* enum_base_type = "In2Sel" *)
(* enum_value_0000 = "NONE" *)
(* enum_value_1100 = "SPR" *)
(* enum_value_1101 = "RS" *)
(* enum_value_1110 = "FRB" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [3:0] dec31_dec_sub22_dec31_dec_sub22_in2_sel;
(* enum_base_type = "In3Sel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_010 = "RB" *)
(* enum_value_011 = "FRS" *)
(* enum_value_100 = "FRC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub22_dec31_dec_sub22_in3_sel;
(* enum_base_type = "MicrOp" *)
(* enum_value_0000000 = "OP_ILLEGAL" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [6:0] dec31_dec_sub22_dec31_dec_sub22_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub22_dec31_dec_sub22_inv_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub22_dec31_dec_sub22_inv_out;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub22_dec31_dec_sub22_is_32b;
(* enum_base_type = "LdstLen" *)
(* enum_value_0000 = "NONE" *)
(* enum_value_0010 = "is2B" *)
(* enum_value_0100 = "is4B" *)
(* enum_value_1000 = "is8B" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [3:0] dec31_dec_sub22_dec31_dec_sub22_ldst_len;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub22_dec31_dec_sub22_lk;
(* enum_base_type = "OutSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "SPR" *)
(* enum_value_100 = "RT_OR_ZERO" *)
(* enum_value_101 = "FRT" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub22_dec31_dec_sub22_out_sel;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec31_dec_sub22_dec31_dec_sub22_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub22_dec31_dec_sub22_rsrv;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub22_dec31_dec_sub22_sgl_pipe;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub22_dec31_dec_sub22_sgn;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub22_dec31_dec_sub22_sgn_ext;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub22_dec31_dec_sub22_sv_cr_in;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub22_dec31_dec_sub22_sv_cr_out;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub22_dec31_dec_sub22_sv_in1;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub22_dec31_dec_sub22_sv_in2;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub22_dec31_dec_sub22_sv_in3;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub22_dec31_dec_sub22_sv_out;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub22_dec31_dec_sub22_sv_out2;
(* enum_base_type = "LDSTMode" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "update" *)
(* enum_value_10 = "cix" *)
(* enum_value_11 = "cx" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec31_dec_sub22_dec31_dec_sub22_upd;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
wire [31:0] dec31_dec_sub22_opcode_in;
(* enum_base_type = "SVEtype" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "EXTRA2" *)
(* enum_value_10 = "EXTRA3" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec31_dec_sub23_dec31_dec_sub23_SV_Etype;
(* enum_base_type = "SVPtype" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "P1" *)
(* enum_value_10 = "P2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec31_dec_sub23_dec31_dec_sub23_SV_Ptype;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [7:0] dec31_dec_sub23_dec31_dec_sub23_asmcode;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub23_dec31_dec_sub23_br;
(* enum_base_type = "CRInSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_101 = "BC" *)
(* enum_value_110 = "WHOLE_REG" *)
(* enum_value_111 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub23_dec31_dec_sub23_cr_in;
(* enum_base_type = "CROutSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub23_dec31_dec_sub23_cr_out;
(* enum_base_type = "CryIn" *)
(* enum_value_00 = "ZERO" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "CA" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec31_dec_sub23_dec31_dec_sub23_cry_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub23_dec31_dec_sub23_cry_out;
(* enum_base_type = "Form" *)
(* enum_value_00000 = "NONE" *)
(* enum_value_11011 = "Z22" *)
(* enum_value_11100 = "Z23" *)
(* enum_value_11101 = "SVL" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [4:0] dec31_dec_sub23_dec31_dec_sub23_form;
(* enum_base_type = "Function" *)
(* enum_value_000000000000000 = "NONE" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [14:0] dec31_dec_sub23_dec31_dec_sub23_function_unit;
(* enum_base_type = "In1Sel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_100 = "RS" *)
(* enum_value_101 = "FRA" *)
(* enum_value_110 = "FRS" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub23_dec31_dec_sub23_in1_sel;
(* enum_base_type = "In2Sel" *)
(* enum_value_0000 = "NONE" *)
(* enum_value_1100 = "SPR" *)
(* enum_value_1101 = "RS" *)
(* enum_value_1110 = "FRB" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [3:0] dec31_dec_sub23_dec31_dec_sub23_in2_sel;
(* enum_base_type = "In3Sel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_010 = "RB" *)
(* enum_value_011 = "FRS" *)
(* enum_value_100 = "FRC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub23_dec31_dec_sub23_in3_sel;
(* enum_base_type = "MicrOp" *)
(* enum_value_0000000 = "OP_ILLEGAL" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [6:0] dec31_dec_sub23_dec31_dec_sub23_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub23_dec31_dec_sub23_inv_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub23_dec31_dec_sub23_inv_out;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub23_dec31_dec_sub23_is_32b;
(* enum_base_type = "LdstLen" *)
(* enum_value_0000 = "NONE" *)
(* enum_value_0010 = "is2B" *)
(* enum_value_0100 = "is4B" *)
(* enum_value_1000 = "is8B" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [3:0] dec31_dec_sub23_dec31_dec_sub23_ldst_len;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub23_dec31_dec_sub23_lk;
(* enum_base_type = "OutSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "SPR" *)
(* enum_value_100 = "RT_OR_ZERO" *)
(* enum_value_101 = "FRT" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub23_dec31_dec_sub23_out_sel;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec31_dec_sub23_dec31_dec_sub23_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub23_dec31_dec_sub23_rsrv;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub23_dec31_dec_sub23_sgl_pipe;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub23_dec31_dec_sub23_sgn;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub23_dec31_dec_sub23_sgn_ext;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub23_dec31_dec_sub23_sv_cr_in;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub23_dec31_dec_sub23_sv_cr_out;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub23_dec31_dec_sub23_sv_in1;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub23_dec31_dec_sub23_sv_in2;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub23_dec31_dec_sub23_sv_in3;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub23_dec31_dec_sub23_sv_out;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub23_dec31_dec_sub23_sv_out2;
(* enum_base_type = "LDSTMode" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "update" *)
(* enum_value_10 = "cix" *)
(* enum_value_11 = "cx" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec31_dec_sub23_dec31_dec_sub23_upd;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
wire [31:0] dec31_dec_sub23_opcode_in;
(* enum_base_type = "SVEtype" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "EXTRA2" *)
(* enum_value_10 = "EXTRA3" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec31_dec_sub24_dec31_dec_sub24_SV_Etype;
(* enum_base_type = "SVPtype" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "P1" *)
(* enum_value_10 = "P2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec31_dec_sub24_dec31_dec_sub24_SV_Ptype;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [7:0] dec31_dec_sub24_dec31_dec_sub24_asmcode;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub24_dec31_dec_sub24_br;
(* enum_base_type = "CRInSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_101 = "BC" *)
(* enum_value_110 = "WHOLE_REG" *)
(* enum_value_111 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub24_dec31_dec_sub24_cr_in;
(* enum_base_type = "CROutSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub24_dec31_dec_sub24_cr_out;
(* enum_base_type = "CryIn" *)
(* enum_value_00 = "ZERO" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "CA" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec31_dec_sub24_dec31_dec_sub24_cry_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub24_dec31_dec_sub24_cry_out;
(* enum_base_type = "Form" *)
(* enum_value_00000 = "NONE" *)
(* enum_value_11011 = "Z22" *)
(* enum_value_11100 = "Z23" *)
(* enum_value_11101 = "SVL" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [4:0] dec31_dec_sub24_dec31_dec_sub24_form;
(* enum_base_type = "Function" *)
(* enum_value_000000000000000 = "NONE" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [14:0] dec31_dec_sub24_dec31_dec_sub24_function_unit;
(* enum_base_type = "In1Sel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_100 = "RS" *)
(* enum_value_101 = "FRA" *)
(* enum_value_110 = "FRS" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub24_dec31_dec_sub24_in1_sel;
(* enum_base_type = "In2Sel" *)
(* enum_value_0000 = "NONE" *)
(* enum_value_1100 = "SPR" *)
(* enum_value_1101 = "RS" *)
(* enum_value_1110 = "FRB" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [3:0] dec31_dec_sub24_dec31_dec_sub24_in2_sel;
(* enum_base_type = "In3Sel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_010 = "RB" *)
(* enum_value_011 = "FRS" *)
(* enum_value_100 = "FRC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub24_dec31_dec_sub24_in3_sel;
(* enum_base_type = "MicrOp" *)
(* enum_value_0000000 = "OP_ILLEGAL" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [6:0] dec31_dec_sub24_dec31_dec_sub24_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub24_dec31_dec_sub24_inv_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub24_dec31_dec_sub24_inv_out;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub24_dec31_dec_sub24_is_32b;
(* enum_base_type = "LdstLen" *)
(* enum_value_0000 = "NONE" *)
(* enum_value_0010 = "is2B" *)
(* enum_value_0100 = "is4B" *)
(* enum_value_1000 = "is8B" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [3:0] dec31_dec_sub24_dec31_dec_sub24_ldst_len;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub24_dec31_dec_sub24_lk;
(* enum_base_type = "OutSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "SPR" *)
(* enum_value_100 = "RT_OR_ZERO" *)
(* enum_value_101 = "FRT" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub24_dec31_dec_sub24_out_sel;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec31_dec_sub24_dec31_dec_sub24_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub24_dec31_dec_sub24_rsrv;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub24_dec31_dec_sub24_sgl_pipe;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub24_dec31_dec_sub24_sgn;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub24_dec31_dec_sub24_sgn_ext;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub24_dec31_dec_sub24_sv_cr_in;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub24_dec31_dec_sub24_sv_cr_out;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub24_dec31_dec_sub24_sv_in1;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub24_dec31_dec_sub24_sv_in2;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub24_dec31_dec_sub24_sv_in3;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub24_dec31_dec_sub24_sv_out;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub24_dec31_dec_sub24_sv_out2;
(* enum_base_type = "LDSTMode" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "update" *)
(* enum_value_10 = "cix" *)
(* enum_value_11 = "cx" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec31_dec_sub24_dec31_dec_sub24_upd;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
wire [31:0] dec31_dec_sub24_opcode_in;
(* enum_base_type = "SVEtype" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "EXTRA2" *)
(* enum_value_10 = "EXTRA3" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec31_dec_sub26_dec31_dec_sub26_SV_Etype;
(* enum_base_type = "SVPtype" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "P1" *)
(* enum_value_10 = "P2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec31_dec_sub26_dec31_dec_sub26_SV_Ptype;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [7:0] dec31_dec_sub26_dec31_dec_sub26_asmcode;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub26_dec31_dec_sub26_br;
(* enum_base_type = "CRInSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_101 = "BC" *)
(* enum_value_110 = "WHOLE_REG" *)
(* enum_value_111 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub26_dec31_dec_sub26_cr_in;
(* enum_base_type = "CROutSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub26_dec31_dec_sub26_cr_out;
(* enum_base_type = "CryIn" *)
(* enum_value_00 = "ZERO" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "CA" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec31_dec_sub26_dec31_dec_sub26_cry_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub26_dec31_dec_sub26_cry_out;
(* enum_base_type = "Form" *)
(* enum_value_00000 = "NONE" *)
(* enum_value_11011 = "Z22" *)
(* enum_value_11100 = "Z23" *)
(* enum_value_11101 = "SVL" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [4:0] dec31_dec_sub26_dec31_dec_sub26_form;
(* enum_base_type = "Function" *)
(* enum_value_000000000000000 = "NONE" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [14:0] dec31_dec_sub26_dec31_dec_sub26_function_unit;
(* enum_base_type = "In1Sel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_100 = "RS" *)
(* enum_value_101 = "FRA" *)
(* enum_value_110 = "FRS" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub26_dec31_dec_sub26_in1_sel;
(* enum_base_type = "In2Sel" *)
(* enum_value_0000 = "NONE" *)
(* enum_value_1100 = "SPR" *)
(* enum_value_1101 = "RS" *)
(* enum_value_1110 = "FRB" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [3:0] dec31_dec_sub26_dec31_dec_sub26_in2_sel;
(* enum_base_type = "In3Sel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_010 = "RB" *)
(* enum_value_011 = "FRS" *)
(* enum_value_100 = "FRC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub26_dec31_dec_sub26_in3_sel;
(* enum_base_type = "MicrOp" *)
(* enum_value_0000000 = "OP_ILLEGAL" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [6:0] dec31_dec_sub26_dec31_dec_sub26_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub26_dec31_dec_sub26_inv_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub26_dec31_dec_sub26_inv_out;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub26_dec31_dec_sub26_is_32b;
(* enum_base_type = "LdstLen" *)
(* enum_value_0000 = "NONE" *)
(* enum_value_0010 = "is2B" *)
(* enum_value_0100 = "is4B" *)
(* enum_value_1000 = "is8B" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [3:0] dec31_dec_sub26_dec31_dec_sub26_ldst_len;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub26_dec31_dec_sub26_lk;
(* enum_base_type = "OutSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "SPR" *)
(* enum_value_100 = "RT_OR_ZERO" *)
(* enum_value_101 = "FRT" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub26_dec31_dec_sub26_out_sel;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec31_dec_sub26_dec31_dec_sub26_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub26_dec31_dec_sub26_rsrv;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub26_dec31_dec_sub26_sgl_pipe;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub26_dec31_dec_sub26_sgn;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub26_dec31_dec_sub26_sgn_ext;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub26_dec31_dec_sub26_sv_cr_in;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub26_dec31_dec_sub26_sv_cr_out;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub26_dec31_dec_sub26_sv_in1;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub26_dec31_dec_sub26_sv_in2;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub26_dec31_dec_sub26_sv_in3;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub26_dec31_dec_sub26_sv_out;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub26_dec31_dec_sub26_sv_out2;
(* enum_base_type = "LDSTMode" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "update" *)
(* enum_value_10 = "cix" *)
(* enum_value_11 = "cx" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec31_dec_sub26_dec31_dec_sub26_upd;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
wire [31:0] dec31_dec_sub26_opcode_in;
(* enum_base_type = "SVEtype" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "EXTRA2" *)
(* enum_value_10 = "EXTRA3" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec31_dec_sub27_dec31_dec_sub27_SV_Etype;
(* enum_base_type = "SVPtype" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "P1" *)
(* enum_value_10 = "P2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec31_dec_sub27_dec31_dec_sub27_SV_Ptype;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [7:0] dec31_dec_sub27_dec31_dec_sub27_asmcode;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub27_dec31_dec_sub27_br;
(* enum_base_type = "CRInSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_101 = "BC" *)
(* enum_value_110 = "WHOLE_REG" *)
(* enum_value_111 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub27_dec31_dec_sub27_cr_in;
(* enum_base_type = "CROutSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub27_dec31_dec_sub27_cr_out;
(* enum_base_type = "CryIn" *)
(* enum_value_00 = "ZERO" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "CA" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec31_dec_sub27_dec31_dec_sub27_cry_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub27_dec31_dec_sub27_cry_out;
(* enum_base_type = "Form" *)
(* enum_value_00000 = "NONE" *)
(* enum_value_11011 = "Z22" *)
(* enum_value_11100 = "Z23" *)
(* enum_value_11101 = "SVL" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [4:0] dec31_dec_sub27_dec31_dec_sub27_form;
(* enum_base_type = "Function" *)
(* enum_value_000000000000000 = "NONE" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [14:0] dec31_dec_sub27_dec31_dec_sub27_function_unit;
(* enum_base_type = "In1Sel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_100 = "RS" *)
(* enum_value_101 = "FRA" *)
(* enum_value_110 = "FRS" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub27_dec31_dec_sub27_in1_sel;
(* enum_base_type = "In2Sel" *)
(* enum_value_0000 = "NONE" *)
(* enum_value_1100 = "SPR" *)
(* enum_value_1101 = "RS" *)
(* enum_value_1110 = "FRB" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [3:0] dec31_dec_sub27_dec31_dec_sub27_in2_sel;
(* enum_base_type = "In3Sel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_010 = "RB" *)
(* enum_value_011 = "FRS" *)
(* enum_value_100 = "FRC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub27_dec31_dec_sub27_in3_sel;
(* enum_base_type = "MicrOp" *)
(* enum_value_0000000 = "OP_ILLEGAL" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [6:0] dec31_dec_sub27_dec31_dec_sub27_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub27_dec31_dec_sub27_inv_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub27_dec31_dec_sub27_inv_out;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub27_dec31_dec_sub27_is_32b;
(* enum_base_type = "LdstLen" *)
(* enum_value_0000 = "NONE" *)
(* enum_value_0010 = "is2B" *)
(* enum_value_0100 = "is4B" *)
(* enum_value_1000 = "is8B" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [3:0] dec31_dec_sub27_dec31_dec_sub27_ldst_len;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub27_dec31_dec_sub27_lk;
(* enum_base_type = "OutSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "SPR" *)
(* enum_value_100 = "RT_OR_ZERO" *)
(* enum_value_101 = "FRT" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub27_dec31_dec_sub27_out_sel;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec31_dec_sub27_dec31_dec_sub27_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub27_dec31_dec_sub27_rsrv;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub27_dec31_dec_sub27_sgl_pipe;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub27_dec31_dec_sub27_sgn;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub27_dec31_dec_sub27_sgn_ext;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub27_dec31_dec_sub27_sv_cr_in;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub27_dec31_dec_sub27_sv_cr_out;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub27_dec31_dec_sub27_sv_in1;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub27_dec31_dec_sub27_sv_in2;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub27_dec31_dec_sub27_sv_in3;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub27_dec31_dec_sub27_sv_out;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub27_dec31_dec_sub27_sv_out2;
(* enum_base_type = "LDSTMode" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "update" *)
(* enum_value_10 = "cix" *)
(* enum_value_11 = "cx" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec31_dec_sub27_dec31_dec_sub27_upd;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
wire [31:0] dec31_dec_sub27_opcode_in;
(* enum_base_type = "SVEtype" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "EXTRA2" *)
(* enum_value_10 = "EXTRA3" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec31_dec_sub28_dec31_dec_sub28_SV_Etype;
(* enum_base_type = "SVPtype" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "P1" *)
(* enum_value_10 = "P2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec31_dec_sub28_dec31_dec_sub28_SV_Ptype;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [7:0] dec31_dec_sub28_dec31_dec_sub28_asmcode;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub28_dec31_dec_sub28_br;
(* enum_base_type = "CRInSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_101 = "BC" *)
(* enum_value_110 = "WHOLE_REG" *)
(* enum_value_111 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub28_dec31_dec_sub28_cr_in;
(* enum_base_type = "CROutSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub28_dec31_dec_sub28_cr_out;
(* enum_base_type = "CryIn" *)
(* enum_value_00 = "ZERO" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "CA" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec31_dec_sub28_dec31_dec_sub28_cry_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub28_dec31_dec_sub28_cry_out;
(* enum_base_type = "Form" *)
(* enum_value_00000 = "NONE" *)
(* enum_value_11011 = "Z22" *)
(* enum_value_11100 = "Z23" *)
(* enum_value_11101 = "SVL" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [4:0] dec31_dec_sub28_dec31_dec_sub28_form;
(* enum_base_type = "Function" *)
(* enum_value_000000000000000 = "NONE" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [14:0] dec31_dec_sub28_dec31_dec_sub28_function_unit;
(* enum_base_type = "In1Sel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_100 = "RS" *)
(* enum_value_101 = "FRA" *)
(* enum_value_110 = "FRS" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub28_dec31_dec_sub28_in1_sel;
(* enum_base_type = "In2Sel" *)
(* enum_value_0000 = "NONE" *)
(* enum_value_1100 = "SPR" *)
(* enum_value_1101 = "RS" *)
(* enum_value_1110 = "FRB" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [3:0] dec31_dec_sub28_dec31_dec_sub28_in2_sel;
(* enum_base_type = "In3Sel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_010 = "RB" *)
(* enum_value_011 = "FRS" *)
(* enum_value_100 = "FRC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub28_dec31_dec_sub28_in3_sel;
(* enum_base_type = "MicrOp" *)
(* enum_value_0000000 = "OP_ILLEGAL" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [6:0] dec31_dec_sub28_dec31_dec_sub28_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub28_dec31_dec_sub28_inv_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub28_dec31_dec_sub28_inv_out;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub28_dec31_dec_sub28_is_32b;
(* enum_base_type = "LdstLen" *)
(* enum_value_0000 = "NONE" *)
(* enum_value_0010 = "is2B" *)
(* enum_value_0100 = "is4B" *)
(* enum_value_1000 = "is8B" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [3:0] dec31_dec_sub28_dec31_dec_sub28_ldst_len;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub28_dec31_dec_sub28_lk;
(* enum_base_type = "OutSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "SPR" *)
(* enum_value_100 = "RT_OR_ZERO" *)
(* enum_value_101 = "FRT" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub28_dec31_dec_sub28_out_sel;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec31_dec_sub28_dec31_dec_sub28_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub28_dec31_dec_sub28_rsrv;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub28_dec31_dec_sub28_sgl_pipe;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub28_dec31_dec_sub28_sgn;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub28_dec31_dec_sub28_sgn_ext;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub28_dec31_dec_sub28_sv_cr_in;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub28_dec31_dec_sub28_sv_cr_out;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub28_dec31_dec_sub28_sv_in1;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub28_dec31_dec_sub28_sv_in2;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub28_dec31_dec_sub28_sv_in3;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub28_dec31_dec_sub28_sv_out;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub28_dec31_dec_sub28_sv_out2;
(* enum_base_type = "LDSTMode" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "update" *)
(* enum_value_10 = "cix" *)
(* enum_value_11 = "cx" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec31_dec_sub28_dec31_dec_sub28_upd;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
wire [31:0] dec31_dec_sub28_opcode_in;
(* enum_base_type = "SVEtype" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "EXTRA2" *)
(* enum_value_10 = "EXTRA3" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec31_dec_sub4_dec31_dec_sub4_SV_Etype;
(* enum_base_type = "SVPtype" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "P1" *)
(* enum_value_10 = "P2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec31_dec_sub4_dec31_dec_sub4_SV_Ptype;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [7:0] dec31_dec_sub4_dec31_dec_sub4_asmcode;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub4_dec31_dec_sub4_br;
(* enum_base_type = "CRInSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_101 = "BC" *)
(* enum_value_110 = "WHOLE_REG" *)
(* enum_value_111 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub4_dec31_dec_sub4_cr_in;
(* enum_base_type = "CROutSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub4_dec31_dec_sub4_cr_out;
(* enum_base_type = "CryIn" *)
(* enum_value_00 = "ZERO" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "CA" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec31_dec_sub4_dec31_dec_sub4_cry_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub4_dec31_dec_sub4_cry_out;
(* enum_base_type = "Form" *)
(* enum_value_00000 = "NONE" *)
(* enum_value_11011 = "Z22" *)
(* enum_value_11100 = "Z23" *)
(* enum_value_11101 = "SVL" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [4:0] dec31_dec_sub4_dec31_dec_sub4_form;
(* enum_base_type = "Function" *)
(* enum_value_000000000000000 = "NONE" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [14:0] dec31_dec_sub4_dec31_dec_sub4_function_unit;
(* enum_base_type = "In1Sel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_100 = "RS" *)
(* enum_value_101 = "FRA" *)
(* enum_value_110 = "FRS" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub4_dec31_dec_sub4_in1_sel;
(* enum_base_type = "In2Sel" *)
(* enum_value_0000 = "NONE" *)
(* enum_value_1100 = "SPR" *)
(* enum_value_1101 = "RS" *)
(* enum_value_1110 = "FRB" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [3:0] dec31_dec_sub4_dec31_dec_sub4_in2_sel;
(* enum_base_type = "In3Sel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_010 = "RB" *)
(* enum_value_011 = "FRS" *)
(* enum_value_100 = "FRC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub4_dec31_dec_sub4_in3_sel;
(* enum_base_type = "MicrOp" *)
(* enum_value_0000000 = "OP_ILLEGAL" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [6:0] dec31_dec_sub4_dec31_dec_sub4_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub4_dec31_dec_sub4_inv_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub4_dec31_dec_sub4_inv_out;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub4_dec31_dec_sub4_is_32b;
(* enum_base_type = "LdstLen" *)
(* enum_value_0000 = "NONE" *)
(* enum_value_0010 = "is2B" *)
(* enum_value_0100 = "is4B" *)
(* enum_value_1000 = "is8B" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [3:0] dec31_dec_sub4_dec31_dec_sub4_ldst_len;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub4_dec31_dec_sub4_lk;
(* enum_base_type = "OutSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "SPR" *)
(* enum_value_100 = "RT_OR_ZERO" *)
(* enum_value_101 = "FRT" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub4_dec31_dec_sub4_out_sel;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec31_dec_sub4_dec31_dec_sub4_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub4_dec31_dec_sub4_rsrv;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub4_dec31_dec_sub4_sgl_pipe;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub4_dec31_dec_sub4_sgn;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub4_dec31_dec_sub4_sgn_ext;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub4_dec31_dec_sub4_sv_cr_in;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub4_dec31_dec_sub4_sv_cr_out;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub4_dec31_dec_sub4_sv_in1;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub4_dec31_dec_sub4_sv_in2;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub4_dec31_dec_sub4_sv_in3;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub4_dec31_dec_sub4_sv_out;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub4_dec31_dec_sub4_sv_out2;
(* enum_base_type = "LDSTMode" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "update" *)
(* enum_value_10 = "cix" *)
(* enum_value_11 = "cx" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec31_dec_sub4_dec31_dec_sub4_upd;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
wire [31:0] dec31_dec_sub4_opcode_in;
(* enum_base_type = "SVEtype" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "EXTRA2" *)
(* enum_value_10 = "EXTRA3" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec31_dec_sub8_dec31_dec_sub8_SV_Etype;
(* enum_base_type = "SVPtype" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "P1" *)
(* enum_value_10 = "P2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec31_dec_sub8_dec31_dec_sub8_SV_Ptype;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [7:0] dec31_dec_sub8_dec31_dec_sub8_asmcode;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub8_dec31_dec_sub8_br;
(* enum_base_type = "CRInSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_101 = "BC" *)
(* enum_value_110 = "WHOLE_REG" *)
(* enum_value_111 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub8_dec31_dec_sub8_cr_in;
(* enum_base_type = "CROutSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub8_dec31_dec_sub8_cr_out;
(* enum_base_type = "CryIn" *)
(* enum_value_00 = "ZERO" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "CA" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec31_dec_sub8_dec31_dec_sub8_cry_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub8_dec31_dec_sub8_cry_out;
(* enum_base_type = "Form" *)
(* enum_value_00000 = "NONE" *)
(* enum_value_11011 = "Z22" *)
(* enum_value_11100 = "Z23" *)
(* enum_value_11101 = "SVL" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [4:0] dec31_dec_sub8_dec31_dec_sub8_form;
(* enum_base_type = "Function" *)
(* enum_value_000000000000000 = "NONE" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [14:0] dec31_dec_sub8_dec31_dec_sub8_function_unit;
(* enum_base_type = "In1Sel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_100 = "RS" *)
(* enum_value_101 = "FRA" *)
(* enum_value_110 = "FRS" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub8_dec31_dec_sub8_in1_sel;
(* enum_base_type = "In2Sel" *)
(* enum_value_0000 = "NONE" *)
(* enum_value_1100 = "SPR" *)
(* enum_value_1101 = "RS" *)
(* enum_value_1110 = "FRB" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [3:0] dec31_dec_sub8_dec31_dec_sub8_in2_sel;
(* enum_base_type = "In3Sel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_010 = "RB" *)
(* enum_value_011 = "FRS" *)
(* enum_value_100 = "FRC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub8_dec31_dec_sub8_in3_sel;
(* enum_base_type = "MicrOp" *)
(* enum_value_0000000 = "OP_ILLEGAL" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [6:0] dec31_dec_sub8_dec31_dec_sub8_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub8_dec31_dec_sub8_inv_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub8_dec31_dec_sub8_inv_out;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub8_dec31_dec_sub8_is_32b;
(* enum_base_type = "LdstLen" *)
(* enum_value_0000 = "NONE" *)
(* enum_value_0010 = "is2B" *)
(* enum_value_0100 = "is4B" *)
(* enum_value_1000 = "is8B" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [3:0] dec31_dec_sub8_dec31_dec_sub8_ldst_len;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub8_dec31_dec_sub8_lk;
(* enum_base_type = "OutSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "SPR" *)
(* enum_value_100 = "RT_OR_ZERO" *)
(* enum_value_101 = "FRT" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub8_dec31_dec_sub8_out_sel;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec31_dec_sub8_dec31_dec_sub8_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub8_dec31_dec_sub8_rsrv;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub8_dec31_dec_sub8_sgl_pipe;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub8_dec31_dec_sub8_sgn;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub8_dec31_dec_sub8_sgn_ext;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub8_dec31_dec_sub8_sv_cr_in;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub8_dec31_dec_sub8_sv_cr_out;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub8_dec31_dec_sub8_sv_in1;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub8_dec31_dec_sub8_sv_in2;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub8_dec31_dec_sub8_sv_in3;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub8_dec31_dec_sub8_sv_out;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub8_dec31_dec_sub8_sv_out2;
(* enum_base_type = "LDSTMode" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "update" *)
(* enum_value_10 = "cix" *)
(* enum_value_11 = "cx" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec31_dec_sub8_dec31_dec_sub8_upd;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
wire [31:0] dec31_dec_sub8_opcode_in;
(* enum_base_type = "SVEtype" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "EXTRA2" *)
(* enum_value_10 = "EXTRA3" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec31_dec_sub9_dec31_dec_sub9_SV_Etype;
(* enum_base_type = "SVPtype" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "P1" *)
(* enum_value_10 = "P2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec31_dec_sub9_dec31_dec_sub9_SV_Ptype;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [7:0] dec31_dec_sub9_dec31_dec_sub9_asmcode;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub9_dec31_dec_sub9_br;
(* enum_base_type = "CRInSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_101 = "BC" *)
(* enum_value_110 = "WHOLE_REG" *)
(* enum_value_111 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub9_dec31_dec_sub9_cr_in;
(* enum_base_type = "CROutSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub9_dec31_dec_sub9_cr_out;
(* enum_base_type = "CryIn" *)
(* enum_value_00 = "ZERO" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "CA" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec31_dec_sub9_dec31_dec_sub9_cry_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub9_dec31_dec_sub9_cry_out;
(* enum_base_type = "Form" *)
(* enum_value_00000 = "NONE" *)
(* enum_value_11011 = "Z22" *)
(* enum_value_11100 = "Z23" *)
(* enum_value_11101 = "SVL" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [4:0] dec31_dec_sub9_dec31_dec_sub9_form;
(* enum_base_type = "Function" *)
(* enum_value_000000000000000 = "NONE" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [14:0] dec31_dec_sub9_dec31_dec_sub9_function_unit;
(* enum_base_type = "In1Sel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_100 = "RS" *)
(* enum_value_101 = "FRA" *)
(* enum_value_110 = "FRS" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub9_dec31_dec_sub9_in1_sel;
(* enum_base_type = "In2Sel" *)
(* enum_value_0000 = "NONE" *)
(* enum_value_1100 = "SPR" *)
(* enum_value_1101 = "RS" *)
(* enum_value_1110 = "FRB" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [3:0] dec31_dec_sub9_dec31_dec_sub9_in2_sel;
(* enum_base_type = "In3Sel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_010 = "RB" *)
(* enum_value_011 = "FRS" *)
(* enum_value_100 = "FRC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub9_dec31_dec_sub9_in3_sel;
(* enum_base_type = "MicrOp" *)
(* enum_value_0000000 = "OP_ILLEGAL" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [6:0] dec31_dec_sub9_dec31_dec_sub9_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub9_dec31_dec_sub9_inv_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub9_dec31_dec_sub9_inv_out;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub9_dec31_dec_sub9_is_32b;
(* enum_base_type = "LdstLen" *)
(* enum_value_0000 = "NONE" *)
(* enum_value_0010 = "is2B" *)
(* enum_value_0100 = "is4B" *)
(* enum_value_1000 = "is8B" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [3:0] dec31_dec_sub9_dec31_dec_sub9_ldst_len;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub9_dec31_dec_sub9_lk;
(* enum_base_type = "OutSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "SPR" *)
(* enum_value_100 = "RT_OR_ZERO" *)
(* enum_value_101 = "FRT" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub9_dec31_dec_sub9_out_sel;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec31_dec_sub9_dec31_dec_sub9_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub9_dec31_dec_sub9_rsrv;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub9_dec31_dec_sub9_sgl_pipe;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub9_dec31_dec_sub9_sgn;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec31_dec_sub9_dec31_dec_sub9_sgn_ext;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub9_dec31_dec_sub9_sv_cr_in;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub9_dec31_dec_sub9_sv_cr_out;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub9_dec31_dec_sub9_sv_in1;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub9_dec31_dec_sub9_sv_in2;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub9_dec31_dec_sub9_sv_in3;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub9_dec31_dec_sub9_sv_out;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec31_dec_sub9_dec31_dec_sub9_sv_out2;
(* enum_base_type = "LDSTMode" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "update" *)
(* enum_value_10 = "cix" *)
(* enum_value_11 = "cx" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec31_dec_sub9_dec31_dec_sub9_upd;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
wire [31:0] dec31_dec_sub9_opcode_in;
(* enum_base_type = "Form" *)
(* enum_value_00000 = "NONE" *)
(* enum_value_11011 = "Z22" *)
(* enum_value_11100 = "Z23" *)
(* enum_value_11101 = "SVL" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [4:0] dec31_form;
reg [4:0] dec31_form;
(* enum_base_type = "Function" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [14:0] dec31_function_unit;
reg [14:0] dec31_function_unit;
(* enum_base_type = "In1Sel" *)
(* enum_value_100 = "RS" *)
(* enum_value_101 = "FRA" *)
(* enum_value_110 = "FRS" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_in1_sel;
reg [2:0] dec31_in1_sel;
(* enum_base_type = "In2Sel" *)
(* enum_value_1100 = "SPR" *)
(* enum_value_1101 = "RS" *)
(* enum_value_1110 = "FRB" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [3:0] dec31_in2_sel;
reg [3:0] dec31_in2_sel;
(* enum_base_type = "In3Sel" *)
(* enum_value_010 = "RB" *)
(* enum_value_011 = "FRS" *)
(* enum_value_100 = "FRC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_in3_sel;
reg [2:0] dec31_in3_sel;
(* enum_base_type = "MicrOp" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [6:0] dec31_internal_op;
reg [6:0] dec31_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_inv_a;
reg dec31_inv_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_inv_out;
reg dec31_inv_out;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_is_32b;
reg dec31_is_32b;
(* enum_base_type = "LdstLen" *)
(* enum_value_0010 = "is2B" *)
(* enum_value_0100 = "is4B" *)
(* enum_value_1000 = "is8B" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [3:0] dec31_ldst_len;
reg [3:0] dec31_ldst_len;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_lk;
reg dec31_lk;
(* enum_base_type = "OutSel" *)
(* enum_value_011 = "SPR" *)
(* enum_value_100 = "RT_OR_ZERO" *)
(* enum_value_101 = "FRT" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_out_sel;
reg [2:0] dec31_out_sel;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] dec31_rc_sel;
reg [1:0] dec31_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_rsrv;
reg dec31_rsrv;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_sgl_pipe;
reg dec31_sgl_pipe;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_sgn;
reg dec31_sgn;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_sgn_ext;
reg dec31_sgn_ext;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_sv_cr_in;
reg [2:0] dec31_sv_cr_in;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_sv_cr_out;
reg [2:0] dec31_sv_cr_out;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_sv_in1;
reg [2:0] dec31_sv_in1;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_sv_in2;
reg [2:0] dec31_sv_in2;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_sv_in3;
reg [2:0] dec31_sv_in3;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_sv_out;
reg [2:0] dec31_sv_out;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_sv_out2;
reg [2:0] dec31_sv_out2;
(* enum_base_type = "LDSTMode" *)
(* enum_value_01 = "update" *)
(* enum_value_10 = "cix" *)
(* enum_value_11 = "cx" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] dec31_upd;
reg [1:0] dec31_upd;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:355" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:357" *)
wire [4:0] opc_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
input [31:0] opcode_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
wire [9:0] opcode_switch;
dec31_dec_sub0 dec31_dec_sub0 (
.dec31_dec_sub0_SV_Etype(dec31_dec_sub0_dec31_dec_sub0_SV_Etype),
always @* begin
if (\initial ) begin end
dec31_function_unit = 15'h0000;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opc_in)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_function_unit = dec31_dec_sub10_dec31_dec_sub10_function_unit;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_function_unit = dec31_dec_sub28_dec31_dec_sub28_function_unit;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_function_unit = dec31_dec_sub0_dec31_dec_sub0_function_unit;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
dec31_function_unit = dec31_dec_sub26_dec31_dec_sub26_function_unit;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
dec31_function_unit = dec31_dec_sub19_dec31_dec_sub19_function_unit;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_function_unit = dec31_dec_sub22_dec31_dec_sub22_function_unit;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_function_unit = dec31_dec_sub9_dec31_dec_sub9_function_unit;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_function_unit = dec31_dec_sub11_dec31_dec_sub11_function_unit;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_function_unit = dec31_dec_sub27_dec31_dec_sub27_function_unit;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_function_unit = dec31_dec_sub15_dec31_dec_sub15_function_unit;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_function_unit = dec31_dec_sub20_dec31_dec_sub20_function_unit;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
dec31_function_unit = dec31_dec_sub21_dec31_dec_sub21_function_unit;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_function_unit = dec31_dec_sub23_dec31_dec_sub23_function_unit;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_function_unit = dec31_dec_sub16_dec31_dec_sub16_function_unit;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_function_unit = dec31_dec_sub18_dec31_dec_sub18_function_unit;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_function_unit = dec31_dec_sub8_dec31_dec_sub8_function_unit;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_function_unit = dec31_dec_sub24_dec31_dec_sub24_function_unit;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_function_unit = dec31_dec_sub4_dec31_dec_sub4_function_unit;
endcase
always @* begin
if (\initial ) begin end
dec31_internal_op = 7'h00;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opc_in)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_internal_op = dec31_dec_sub10_dec31_dec_sub10_internal_op;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_internal_op = dec31_dec_sub28_dec31_dec_sub28_internal_op;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_internal_op = dec31_dec_sub0_dec31_dec_sub0_internal_op;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
dec31_internal_op = dec31_dec_sub26_dec31_dec_sub26_internal_op;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
dec31_internal_op = dec31_dec_sub19_dec31_dec_sub19_internal_op;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_internal_op = dec31_dec_sub22_dec31_dec_sub22_internal_op;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_internal_op = dec31_dec_sub9_dec31_dec_sub9_internal_op;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_internal_op = dec31_dec_sub11_dec31_dec_sub11_internal_op;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_internal_op = dec31_dec_sub27_dec31_dec_sub27_internal_op;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_internal_op = dec31_dec_sub15_dec31_dec_sub15_internal_op;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_internal_op = dec31_dec_sub20_dec31_dec_sub20_internal_op;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
dec31_internal_op = dec31_dec_sub21_dec31_dec_sub21_internal_op;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_internal_op = dec31_dec_sub23_dec31_dec_sub23_internal_op;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_internal_op = dec31_dec_sub16_dec31_dec_sub16_internal_op;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_internal_op = dec31_dec_sub18_dec31_dec_sub18_internal_op;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_internal_op = dec31_dec_sub8_dec31_dec_sub8_internal_op;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_internal_op = dec31_dec_sub24_dec31_dec_sub24_internal_op;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_internal_op = dec31_dec_sub4_dec31_dec_sub4_internal_op;
endcase
always @* begin
if (\initial ) begin end
dec31_form = 5'h00;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opc_in)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_form = dec31_dec_sub10_dec31_dec_sub10_form;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_form = dec31_dec_sub28_dec31_dec_sub28_form;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_form = dec31_dec_sub0_dec31_dec_sub0_form;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
dec31_form = dec31_dec_sub26_dec31_dec_sub26_form;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
dec31_form = dec31_dec_sub19_dec31_dec_sub19_form;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_form = dec31_dec_sub22_dec31_dec_sub22_form;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_form = dec31_dec_sub9_dec31_dec_sub9_form;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_form = dec31_dec_sub11_dec31_dec_sub11_form;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_form = dec31_dec_sub27_dec31_dec_sub27_form;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_form = dec31_dec_sub15_dec31_dec_sub15_form;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_form = dec31_dec_sub20_dec31_dec_sub20_form;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
dec31_form = dec31_dec_sub21_dec31_dec_sub21_form;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_form = dec31_dec_sub23_dec31_dec_sub23_form;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_form = dec31_dec_sub16_dec31_dec_sub16_form;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_form = dec31_dec_sub18_dec31_dec_sub18_form;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_form = dec31_dec_sub8_dec31_dec_sub8_form;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_form = dec31_dec_sub24_dec31_dec_sub24_form;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_form = dec31_dec_sub4_dec31_dec_sub4_form;
endcase
always @* begin
if (\initial ) begin end
dec31_asmcode = 8'h00;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opc_in)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_asmcode = dec31_dec_sub10_dec31_dec_sub10_asmcode;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_asmcode = dec31_dec_sub28_dec31_dec_sub28_asmcode;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_asmcode = dec31_dec_sub0_dec31_dec_sub0_asmcode;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
dec31_asmcode = dec31_dec_sub26_dec31_dec_sub26_asmcode;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
dec31_asmcode = dec31_dec_sub19_dec31_dec_sub19_asmcode;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_asmcode = dec31_dec_sub22_dec31_dec_sub22_asmcode;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_asmcode = dec31_dec_sub9_dec31_dec_sub9_asmcode;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_asmcode = dec31_dec_sub11_dec31_dec_sub11_asmcode;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_asmcode = dec31_dec_sub27_dec31_dec_sub27_asmcode;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_asmcode = dec31_dec_sub15_dec31_dec_sub15_asmcode;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_asmcode = dec31_dec_sub20_dec31_dec_sub20_asmcode;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
dec31_asmcode = dec31_dec_sub21_dec31_dec_sub21_asmcode;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_asmcode = dec31_dec_sub23_dec31_dec_sub23_asmcode;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_asmcode = dec31_dec_sub16_dec31_dec_sub16_asmcode;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_asmcode = dec31_dec_sub18_dec31_dec_sub18_asmcode;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_asmcode = dec31_dec_sub8_dec31_dec_sub8_asmcode;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_asmcode = dec31_dec_sub24_dec31_dec_sub24_asmcode;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_asmcode = dec31_dec_sub4_dec31_dec_sub4_asmcode;
endcase
always @* begin
if (\initial ) begin end
dec31_SV_Etype = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opc_in)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_SV_Etype = dec31_dec_sub10_dec31_dec_sub10_SV_Etype;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_SV_Etype = dec31_dec_sub28_dec31_dec_sub28_SV_Etype;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_SV_Etype = dec31_dec_sub0_dec31_dec_sub0_SV_Etype;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
dec31_SV_Etype = dec31_dec_sub26_dec31_dec_sub26_SV_Etype;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
dec31_SV_Etype = dec31_dec_sub19_dec31_dec_sub19_SV_Etype;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_SV_Etype = dec31_dec_sub22_dec31_dec_sub22_SV_Etype;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_SV_Etype = dec31_dec_sub9_dec31_dec_sub9_SV_Etype;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_SV_Etype = dec31_dec_sub11_dec31_dec_sub11_SV_Etype;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_SV_Etype = dec31_dec_sub27_dec31_dec_sub27_SV_Etype;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_SV_Etype = dec31_dec_sub15_dec31_dec_sub15_SV_Etype;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_SV_Etype = dec31_dec_sub20_dec31_dec_sub20_SV_Etype;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
dec31_SV_Etype = dec31_dec_sub21_dec31_dec_sub21_SV_Etype;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_SV_Etype = dec31_dec_sub23_dec31_dec_sub23_SV_Etype;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_SV_Etype = dec31_dec_sub16_dec31_dec_sub16_SV_Etype;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_SV_Etype = dec31_dec_sub18_dec31_dec_sub18_SV_Etype;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_SV_Etype = dec31_dec_sub8_dec31_dec_sub8_SV_Etype;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_SV_Etype = dec31_dec_sub24_dec31_dec_sub24_SV_Etype;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_SV_Etype = dec31_dec_sub4_dec31_dec_sub4_SV_Etype;
endcase
always @* begin
if (\initial ) begin end
dec31_SV_Ptype = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opc_in)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_SV_Ptype = dec31_dec_sub10_dec31_dec_sub10_SV_Ptype;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_SV_Ptype = dec31_dec_sub28_dec31_dec_sub28_SV_Ptype;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_SV_Ptype = dec31_dec_sub0_dec31_dec_sub0_SV_Ptype;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
dec31_SV_Ptype = dec31_dec_sub26_dec31_dec_sub26_SV_Ptype;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
dec31_SV_Ptype = dec31_dec_sub19_dec31_dec_sub19_SV_Ptype;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_SV_Ptype = dec31_dec_sub22_dec31_dec_sub22_SV_Ptype;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_SV_Ptype = dec31_dec_sub9_dec31_dec_sub9_SV_Ptype;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_SV_Ptype = dec31_dec_sub11_dec31_dec_sub11_SV_Ptype;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_SV_Ptype = dec31_dec_sub27_dec31_dec_sub27_SV_Ptype;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_SV_Ptype = dec31_dec_sub15_dec31_dec_sub15_SV_Ptype;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_SV_Ptype = dec31_dec_sub20_dec31_dec_sub20_SV_Ptype;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
dec31_SV_Ptype = dec31_dec_sub21_dec31_dec_sub21_SV_Ptype;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_SV_Ptype = dec31_dec_sub23_dec31_dec_sub23_SV_Ptype;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_SV_Ptype = dec31_dec_sub16_dec31_dec_sub16_SV_Ptype;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_SV_Ptype = dec31_dec_sub18_dec31_dec_sub18_SV_Ptype;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_SV_Ptype = dec31_dec_sub8_dec31_dec_sub8_SV_Ptype;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_SV_Ptype = dec31_dec_sub24_dec31_dec_sub24_SV_Ptype;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_SV_Ptype = dec31_dec_sub4_dec31_dec_sub4_SV_Ptype;
endcase
always @* begin
if (\initial ) begin end
dec31_in1_sel = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opc_in)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_in1_sel = dec31_dec_sub10_dec31_dec_sub10_in1_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_in1_sel = dec31_dec_sub28_dec31_dec_sub28_in1_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_in1_sel = dec31_dec_sub0_dec31_dec_sub0_in1_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
dec31_in1_sel = dec31_dec_sub26_dec31_dec_sub26_in1_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
dec31_in1_sel = dec31_dec_sub19_dec31_dec_sub19_in1_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_in1_sel = dec31_dec_sub22_dec31_dec_sub22_in1_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_in1_sel = dec31_dec_sub9_dec31_dec_sub9_in1_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_in1_sel = dec31_dec_sub11_dec31_dec_sub11_in1_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_in1_sel = dec31_dec_sub27_dec31_dec_sub27_in1_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_in1_sel = dec31_dec_sub15_dec31_dec_sub15_in1_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_in1_sel = dec31_dec_sub20_dec31_dec_sub20_in1_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
dec31_in1_sel = dec31_dec_sub21_dec31_dec_sub21_in1_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_in1_sel = dec31_dec_sub23_dec31_dec_sub23_in1_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_in1_sel = dec31_dec_sub16_dec31_dec_sub16_in1_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_in1_sel = dec31_dec_sub18_dec31_dec_sub18_in1_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_in1_sel = dec31_dec_sub8_dec31_dec_sub8_in1_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_in1_sel = dec31_dec_sub24_dec31_dec_sub24_in1_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_in1_sel = dec31_dec_sub4_dec31_dec_sub4_in1_sel;
endcase
always @* begin
if (\initial ) begin end
dec31_in2_sel = 4'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opc_in)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_in2_sel = dec31_dec_sub10_dec31_dec_sub10_in2_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_in2_sel = dec31_dec_sub28_dec31_dec_sub28_in2_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_in2_sel = dec31_dec_sub0_dec31_dec_sub0_in2_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
dec31_in2_sel = dec31_dec_sub26_dec31_dec_sub26_in2_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
dec31_in2_sel = dec31_dec_sub19_dec31_dec_sub19_in2_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_in2_sel = dec31_dec_sub22_dec31_dec_sub22_in2_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_in2_sel = dec31_dec_sub9_dec31_dec_sub9_in2_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_in2_sel = dec31_dec_sub11_dec31_dec_sub11_in2_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_in2_sel = dec31_dec_sub27_dec31_dec_sub27_in2_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_in2_sel = dec31_dec_sub15_dec31_dec_sub15_in2_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_in2_sel = dec31_dec_sub20_dec31_dec_sub20_in2_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
dec31_in2_sel = dec31_dec_sub21_dec31_dec_sub21_in2_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_in2_sel = dec31_dec_sub23_dec31_dec_sub23_in2_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_in2_sel = dec31_dec_sub16_dec31_dec_sub16_in2_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_in2_sel = dec31_dec_sub18_dec31_dec_sub18_in2_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_in2_sel = dec31_dec_sub8_dec31_dec_sub8_in2_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_in2_sel = dec31_dec_sub24_dec31_dec_sub24_in2_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_in2_sel = dec31_dec_sub4_dec31_dec_sub4_in2_sel;
endcase
always @* begin
if (\initial ) begin end
dec31_in3_sel = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opc_in)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_in3_sel = dec31_dec_sub10_dec31_dec_sub10_in3_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_in3_sel = dec31_dec_sub28_dec31_dec_sub28_in3_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_in3_sel = dec31_dec_sub0_dec31_dec_sub0_in3_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
dec31_in3_sel = dec31_dec_sub26_dec31_dec_sub26_in3_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
dec31_in3_sel = dec31_dec_sub19_dec31_dec_sub19_in3_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_in3_sel = dec31_dec_sub22_dec31_dec_sub22_in3_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_in3_sel = dec31_dec_sub9_dec31_dec_sub9_in3_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_in3_sel = dec31_dec_sub11_dec31_dec_sub11_in3_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_in3_sel = dec31_dec_sub27_dec31_dec_sub27_in3_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_in3_sel = dec31_dec_sub15_dec31_dec_sub15_in3_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_in3_sel = dec31_dec_sub20_dec31_dec_sub20_in3_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
dec31_in3_sel = dec31_dec_sub21_dec31_dec_sub21_in3_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_in3_sel = dec31_dec_sub23_dec31_dec_sub23_in3_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_in3_sel = dec31_dec_sub16_dec31_dec_sub16_in3_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_in3_sel = dec31_dec_sub18_dec31_dec_sub18_in3_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_in3_sel = dec31_dec_sub8_dec31_dec_sub8_in3_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_in3_sel = dec31_dec_sub24_dec31_dec_sub24_in3_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_in3_sel = dec31_dec_sub4_dec31_dec_sub4_in3_sel;
endcase
always @* begin
if (\initial ) begin end
dec31_out_sel = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opc_in)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_out_sel = dec31_dec_sub10_dec31_dec_sub10_out_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_out_sel = dec31_dec_sub28_dec31_dec_sub28_out_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_out_sel = dec31_dec_sub0_dec31_dec_sub0_out_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
dec31_out_sel = dec31_dec_sub26_dec31_dec_sub26_out_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
dec31_out_sel = dec31_dec_sub19_dec31_dec_sub19_out_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_out_sel = dec31_dec_sub22_dec31_dec_sub22_out_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_out_sel = dec31_dec_sub9_dec31_dec_sub9_out_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_out_sel = dec31_dec_sub11_dec31_dec_sub11_out_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_out_sel = dec31_dec_sub27_dec31_dec_sub27_out_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_out_sel = dec31_dec_sub15_dec31_dec_sub15_out_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_out_sel = dec31_dec_sub20_dec31_dec_sub20_out_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
dec31_out_sel = dec31_dec_sub21_dec31_dec_sub21_out_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_out_sel = dec31_dec_sub23_dec31_dec_sub23_out_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_out_sel = dec31_dec_sub16_dec31_dec_sub16_out_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_out_sel = dec31_dec_sub18_dec31_dec_sub18_out_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_out_sel = dec31_dec_sub8_dec31_dec_sub8_out_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_out_sel = dec31_dec_sub24_dec31_dec_sub24_out_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_out_sel = dec31_dec_sub4_dec31_dec_sub4_out_sel;
endcase
always @* begin
if (\initial ) begin end
dec31_cr_in = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opc_in)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_cr_in = dec31_dec_sub10_dec31_dec_sub10_cr_in;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_cr_in = dec31_dec_sub28_dec31_dec_sub28_cr_in;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_cr_in = dec31_dec_sub0_dec31_dec_sub0_cr_in;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
dec31_cr_in = dec31_dec_sub26_dec31_dec_sub26_cr_in;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
dec31_cr_in = dec31_dec_sub19_dec31_dec_sub19_cr_in;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_cr_in = dec31_dec_sub22_dec31_dec_sub22_cr_in;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_cr_in = dec31_dec_sub9_dec31_dec_sub9_cr_in;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_cr_in = dec31_dec_sub11_dec31_dec_sub11_cr_in;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_cr_in = dec31_dec_sub27_dec31_dec_sub27_cr_in;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_cr_in = dec31_dec_sub15_dec31_dec_sub15_cr_in;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_cr_in = dec31_dec_sub20_dec31_dec_sub20_cr_in;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
dec31_cr_in = dec31_dec_sub21_dec31_dec_sub21_cr_in;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_cr_in = dec31_dec_sub23_dec31_dec_sub23_cr_in;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_cr_in = dec31_dec_sub16_dec31_dec_sub16_cr_in;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_cr_in = dec31_dec_sub18_dec31_dec_sub18_cr_in;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_cr_in = dec31_dec_sub8_dec31_dec_sub8_cr_in;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_cr_in = dec31_dec_sub24_dec31_dec_sub24_cr_in;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_cr_in = dec31_dec_sub4_dec31_dec_sub4_cr_in;
endcase
always @* begin
if (\initial ) begin end
dec31_cr_out = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opc_in)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_cr_out = dec31_dec_sub10_dec31_dec_sub10_cr_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_cr_out = dec31_dec_sub28_dec31_dec_sub28_cr_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_cr_out = dec31_dec_sub0_dec31_dec_sub0_cr_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
dec31_cr_out = dec31_dec_sub26_dec31_dec_sub26_cr_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
dec31_cr_out = dec31_dec_sub19_dec31_dec_sub19_cr_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_cr_out = dec31_dec_sub22_dec31_dec_sub22_cr_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_cr_out = dec31_dec_sub9_dec31_dec_sub9_cr_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_cr_out = dec31_dec_sub11_dec31_dec_sub11_cr_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_cr_out = dec31_dec_sub27_dec31_dec_sub27_cr_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_cr_out = dec31_dec_sub15_dec31_dec_sub15_cr_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_cr_out = dec31_dec_sub20_dec31_dec_sub20_cr_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
dec31_cr_out = dec31_dec_sub21_dec31_dec_sub21_cr_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_cr_out = dec31_dec_sub23_dec31_dec_sub23_cr_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_cr_out = dec31_dec_sub16_dec31_dec_sub16_cr_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_cr_out = dec31_dec_sub18_dec31_dec_sub18_cr_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_cr_out = dec31_dec_sub8_dec31_dec_sub8_cr_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_cr_out = dec31_dec_sub24_dec31_dec_sub24_cr_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_cr_out = dec31_dec_sub4_dec31_dec_sub4_cr_out;
endcase
always @* begin
if (\initial ) begin end
dec31_sv_in1 = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opc_in)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_sv_in1 = dec31_dec_sub10_dec31_dec_sub10_sv_in1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_sv_in1 = dec31_dec_sub28_dec31_dec_sub28_sv_in1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_sv_in1 = dec31_dec_sub0_dec31_dec_sub0_sv_in1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
dec31_sv_in1 = dec31_dec_sub26_dec31_dec_sub26_sv_in1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
dec31_sv_in1 = dec31_dec_sub19_dec31_dec_sub19_sv_in1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_sv_in1 = dec31_dec_sub22_dec31_dec_sub22_sv_in1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_sv_in1 = dec31_dec_sub9_dec31_dec_sub9_sv_in1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_sv_in1 = dec31_dec_sub11_dec31_dec_sub11_sv_in1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_sv_in1 = dec31_dec_sub27_dec31_dec_sub27_sv_in1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_sv_in1 = dec31_dec_sub15_dec31_dec_sub15_sv_in1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_sv_in1 = dec31_dec_sub20_dec31_dec_sub20_sv_in1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
dec31_sv_in1 = dec31_dec_sub21_dec31_dec_sub21_sv_in1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_sv_in1 = dec31_dec_sub23_dec31_dec_sub23_sv_in1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_sv_in1 = dec31_dec_sub16_dec31_dec_sub16_sv_in1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_sv_in1 = dec31_dec_sub18_dec31_dec_sub18_sv_in1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_sv_in1 = dec31_dec_sub8_dec31_dec_sub8_sv_in1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_sv_in1 = dec31_dec_sub24_dec31_dec_sub24_sv_in1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_sv_in1 = dec31_dec_sub4_dec31_dec_sub4_sv_in1;
endcase
always @* begin
if (\initial ) begin end
dec31_sv_in2 = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opc_in)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_sv_in2 = dec31_dec_sub10_dec31_dec_sub10_sv_in2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_sv_in2 = dec31_dec_sub28_dec31_dec_sub28_sv_in2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_sv_in2 = dec31_dec_sub0_dec31_dec_sub0_sv_in2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
dec31_sv_in2 = dec31_dec_sub26_dec31_dec_sub26_sv_in2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
dec31_sv_in2 = dec31_dec_sub19_dec31_dec_sub19_sv_in2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_sv_in2 = dec31_dec_sub22_dec31_dec_sub22_sv_in2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_sv_in2 = dec31_dec_sub9_dec31_dec_sub9_sv_in2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_sv_in2 = dec31_dec_sub11_dec31_dec_sub11_sv_in2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_sv_in2 = dec31_dec_sub27_dec31_dec_sub27_sv_in2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_sv_in2 = dec31_dec_sub15_dec31_dec_sub15_sv_in2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_sv_in2 = dec31_dec_sub20_dec31_dec_sub20_sv_in2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
dec31_sv_in2 = dec31_dec_sub21_dec31_dec_sub21_sv_in2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_sv_in2 = dec31_dec_sub23_dec31_dec_sub23_sv_in2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_sv_in2 = dec31_dec_sub16_dec31_dec_sub16_sv_in2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_sv_in2 = dec31_dec_sub18_dec31_dec_sub18_sv_in2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_sv_in2 = dec31_dec_sub8_dec31_dec_sub8_sv_in2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_sv_in2 = dec31_dec_sub24_dec31_dec_sub24_sv_in2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_sv_in2 = dec31_dec_sub4_dec31_dec_sub4_sv_in2;
endcase
always @* begin
if (\initial ) begin end
dec31_sv_in3 = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opc_in)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_sv_in3 = dec31_dec_sub10_dec31_dec_sub10_sv_in3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_sv_in3 = dec31_dec_sub28_dec31_dec_sub28_sv_in3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_sv_in3 = dec31_dec_sub0_dec31_dec_sub0_sv_in3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
dec31_sv_in3 = dec31_dec_sub26_dec31_dec_sub26_sv_in3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
dec31_sv_in3 = dec31_dec_sub19_dec31_dec_sub19_sv_in3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_sv_in3 = dec31_dec_sub22_dec31_dec_sub22_sv_in3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_sv_in3 = dec31_dec_sub9_dec31_dec_sub9_sv_in3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_sv_in3 = dec31_dec_sub11_dec31_dec_sub11_sv_in3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_sv_in3 = dec31_dec_sub27_dec31_dec_sub27_sv_in3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_sv_in3 = dec31_dec_sub15_dec31_dec_sub15_sv_in3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_sv_in3 = dec31_dec_sub20_dec31_dec_sub20_sv_in3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
dec31_sv_in3 = dec31_dec_sub21_dec31_dec_sub21_sv_in3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_sv_in3 = dec31_dec_sub23_dec31_dec_sub23_sv_in3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_sv_in3 = dec31_dec_sub16_dec31_dec_sub16_sv_in3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_sv_in3 = dec31_dec_sub18_dec31_dec_sub18_sv_in3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_sv_in3 = dec31_dec_sub8_dec31_dec_sub8_sv_in3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_sv_in3 = dec31_dec_sub24_dec31_dec_sub24_sv_in3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_sv_in3 = dec31_dec_sub4_dec31_dec_sub4_sv_in3;
endcase
always @* begin
if (\initial ) begin end
dec31_sv_out = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opc_in)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_sv_out = dec31_dec_sub10_dec31_dec_sub10_sv_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_sv_out = dec31_dec_sub28_dec31_dec_sub28_sv_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_sv_out = dec31_dec_sub0_dec31_dec_sub0_sv_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
dec31_sv_out = dec31_dec_sub26_dec31_dec_sub26_sv_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
dec31_sv_out = dec31_dec_sub19_dec31_dec_sub19_sv_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_sv_out = dec31_dec_sub22_dec31_dec_sub22_sv_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_sv_out = dec31_dec_sub9_dec31_dec_sub9_sv_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_sv_out = dec31_dec_sub11_dec31_dec_sub11_sv_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_sv_out = dec31_dec_sub27_dec31_dec_sub27_sv_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_sv_out = dec31_dec_sub15_dec31_dec_sub15_sv_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_sv_out = dec31_dec_sub20_dec31_dec_sub20_sv_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
dec31_sv_out = dec31_dec_sub21_dec31_dec_sub21_sv_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_sv_out = dec31_dec_sub23_dec31_dec_sub23_sv_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_sv_out = dec31_dec_sub16_dec31_dec_sub16_sv_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_sv_out = dec31_dec_sub18_dec31_dec_sub18_sv_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_sv_out = dec31_dec_sub8_dec31_dec_sub8_sv_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_sv_out = dec31_dec_sub24_dec31_dec_sub24_sv_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_sv_out = dec31_dec_sub4_dec31_dec_sub4_sv_out;
endcase
always @* begin
if (\initial ) begin end
dec31_sv_out2 = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opc_in)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_sv_out2 = dec31_dec_sub10_dec31_dec_sub10_sv_out2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_sv_out2 = dec31_dec_sub28_dec31_dec_sub28_sv_out2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_sv_out2 = dec31_dec_sub0_dec31_dec_sub0_sv_out2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
dec31_sv_out2 = dec31_dec_sub26_dec31_dec_sub26_sv_out2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
dec31_sv_out2 = dec31_dec_sub19_dec31_dec_sub19_sv_out2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_sv_out2 = dec31_dec_sub22_dec31_dec_sub22_sv_out2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_sv_out2 = dec31_dec_sub9_dec31_dec_sub9_sv_out2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_sv_out2 = dec31_dec_sub11_dec31_dec_sub11_sv_out2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_sv_out2 = dec31_dec_sub27_dec31_dec_sub27_sv_out2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_sv_out2 = dec31_dec_sub15_dec31_dec_sub15_sv_out2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_sv_out2 = dec31_dec_sub20_dec31_dec_sub20_sv_out2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
dec31_sv_out2 = dec31_dec_sub21_dec31_dec_sub21_sv_out2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_sv_out2 = dec31_dec_sub23_dec31_dec_sub23_sv_out2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_sv_out2 = dec31_dec_sub16_dec31_dec_sub16_sv_out2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_sv_out2 = dec31_dec_sub18_dec31_dec_sub18_sv_out2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_sv_out2 = dec31_dec_sub8_dec31_dec_sub8_sv_out2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_sv_out2 = dec31_dec_sub24_dec31_dec_sub24_sv_out2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_sv_out2 = dec31_dec_sub4_dec31_dec_sub4_sv_out2;
endcase
always @* begin
if (\initial ) begin end
dec31_sv_cr_in = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opc_in)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_sv_cr_in = dec31_dec_sub10_dec31_dec_sub10_sv_cr_in;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_sv_cr_in = dec31_dec_sub28_dec31_dec_sub28_sv_cr_in;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_sv_cr_in = dec31_dec_sub0_dec31_dec_sub0_sv_cr_in;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
dec31_sv_cr_in = dec31_dec_sub26_dec31_dec_sub26_sv_cr_in;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
dec31_sv_cr_in = dec31_dec_sub19_dec31_dec_sub19_sv_cr_in;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_sv_cr_in = dec31_dec_sub22_dec31_dec_sub22_sv_cr_in;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_sv_cr_in = dec31_dec_sub9_dec31_dec_sub9_sv_cr_in;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_sv_cr_in = dec31_dec_sub11_dec31_dec_sub11_sv_cr_in;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_sv_cr_in = dec31_dec_sub27_dec31_dec_sub27_sv_cr_in;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_sv_cr_in = dec31_dec_sub15_dec31_dec_sub15_sv_cr_in;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_sv_cr_in = dec31_dec_sub20_dec31_dec_sub20_sv_cr_in;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
dec31_sv_cr_in = dec31_dec_sub21_dec31_dec_sub21_sv_cr_in;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_sv_cr_in = dec31_dec_sub23_dec31_dec_sub23_sv_cr_in;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_sv_cr_in = dec31_dec_sub16_dec31_dec_sub16_sv_cr_in;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_sv_cr_in = dec31_dec_sub18_dec31_dec_sub18_sv_cr_in;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_sv_cr_in = dec31_dec_sub8_dec31_dec_sub8_sv_cr_in;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_sv_cr_in = dec31_dec_sub24_dec31_dec_sub24_sv_cr_in;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_sv_cr_in = dec31_dec_sub4_dec31_dec_sub4_sv_cr_in;
endcase
always @* begin
if (\initial ) begin end
dec31_sv_cr_out = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opc_in)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_sv_cr_out = dec31_dec_sub10_dec31_dec_sub10_sv_cr_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_sv_cr_out = dec31_dec_sub28_dec31_dec_sub28_sv_cr_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_sv_cr_out = dec31_dec_sub0_dec31_dec_sub0_sv_cr_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
dec31_sv_cr_out = dec31_dec_sub26_dec31_dec_sub26_sv_cr_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
dec31_sv_cr_out = dec31_dec_sub19_dec31_dec_sub19_sv_cr_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_sv_cr_out = dec31_dec_sub22_dec31_dec_sub22_sv_cr_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_sv_cr_out = dec31_dec_sub9_dec31_dec_sub9_sv_cr_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_sv_cr_out = dec31_dec_sub11_dec31_dec_sub11_sv_cr_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_sv_cr_out = dec31_dec_sub27_dec31_dec_sub27_sv_cr_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_sv_cr_out = dec31_dec_sub15_dec31_dec_sub15_sv_cr_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_sv_cr_out = dec31_dec_sub20_dec31_dec_sub20_sv_cr_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
dec31_sv_cr_out = dec31_dec_sub21_dec31_dec_sub21_sv_cr_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_sv_cr_out = dec31_dec_sub23_dec31_dec_sub23_sv_cr_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_sv_cr_out = dec31_dec_sub16_dec31_dec_sub16_sv_cr_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_sv_cr_out = dec31_dec_sub18_dec31_dec_sub18_sv_cr_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_sv_cr_out = dec31_dec_sub8_dec31_dec_sub8_sv_cr_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_sv_cr_out = dec31_dec_sub24_dec31_dec_sub24_sv_cr_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_sv_cr_out = dec31_dec_sub4_dec31_dec_sub4_sv_cr_out;
endcase
always @* begin
if (\initial ) begin end
dec31_ldst_len = 4'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opc_in)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_ldst_len = dec31_dec_sub10_dec31_dec_sub10_ldst_len;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_ldst_len = dec31_dec_sub28_dec31_dec_sub28_ldst_len;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_ldst_len = dec31_dec_sub0_dec31_dec_sub0_ldst_len;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
dec31_ldst_len = dec31_dec_sub26_dec31_dec_sub26_ldst_len;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
dec31_ldst_len = dec31_dec_sub19_dec31_dec_sub19_ldst_len;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_ldst_len = dec31_dec_sub22_dec31_dec_sub22_ldst_len;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_ldst_len = dec31_dec_sub9_dec31_dec_sub9_ldst_len;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_ldst_len = dec31_dec_sub11_dec31_dec_sub11_ldst_len;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_ldst_len = dec31_dec_sub27_dec31_dec_sub27_ldst_len;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_ldst_len = dec31_dec_sub15_dec31_dec_sub15_ldst_len;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_ldst_len = dec31_dec_sub20_dec31_dec_sub20_ldst_len;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
dec31_ldst_len = dec31_dec_sub21_dec31_dec_sub21_ldst_len;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_ldst_len = dec31_dec_sub23_dec31_dec_sub23_ldst_len;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_ldst_len = dec31_dec_sub16_dec31_dec_sub16_ldst_len;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_ldst_len = dec31_dec_sub18_dec31_dec_sub18_ldst_len;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_ldst_len = dec31_dec_sub8_dec31_dec_sub8_ldst_len;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_ldst_len = dec31_dec_sub24_dec31_dec_sub24_ldst_len;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_ldst_len = dec31_dec_sub4_dec31_dec_sub4_ldst_len;
endcase
always @* begin
if (\initial ) begin end
dec31_upd = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opc_in)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_upd = dec31_dec_sub10_dec31_dec_sub10_upd;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_upd = dec31_dec_sub28_dec31_dec_sub28_upd;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_upd = dec31_dec_sub0_dec31_dec_sub0_upd;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
dec31_upd = dec31_dec_sub26_dec31_dec_sub26_upd;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
dec31_upd = dec31_dec_sub19_dec31_dec_sub19_upd;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_upd = dec31_dec_sub22_dec31_dec_sub22_upd;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_upd = dec31_dec_sub9_dec31_dec_sub9_upd;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_upd = dec31_dec_sub11_dec31_dec_sub11_upd;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_upd = dec31_dec_sub27_dec31_dec_sub27_upd;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_upd = dec31_dec_sub15_dec31_dec_sub15_upd;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_upd = dec31_dec_sub20_dec31_dec_sub20_upd;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
dec31_upd = dec31_dec_sub21_dec31_dec_sub21_upd;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_upd = dec31_dec_sub23_dec31_dec_sub23_upd;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_upd = dec31_dec_sub16_dec31_dec_sub16_upd;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_upd = dec31_dec_sub18_dec31_dec_sub18_upd;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_upd = dec31_dec_sub8_dec31_dec_sub8_upd;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_upd = dec31_dec_sub24_dec31_dec_sub24_upd;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_upd = dec31_dec_sub4_dec31_dec_sub4_upd;
endcase
always @* begin
if (\initial ) begin end
dec31_rc_sel = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opc_in)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_rc_sel = dec31_dec_sub10_dec31_dec_sub10_rc_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_rc_sel = dec31_dec_sub28_dec31_dec_sub28_rc_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_rc_sel = dec31_dec_sub0_dec31_dec_sub0_rc_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
dec31_rc_sel = dec31_dec_sub26_dec31_dec_sub26_rc_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
dec31_rc_sel = dec31_dec_sub19_dec31_dec_sub19_rc_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_rc_sel = dec31_dec_sub22_dec31_dec_sub22_rc_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_rc_sel = dec31_dec_sub9_dec31_dec_sub9_rc_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_rc_sel = dec31_dec_sub11_dec31_dec_sub11_rc_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_rc_sel = dec31_dec_sub27_dec31_dec_sub27_rc_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_rc_sel = dec31_dec_sub15_dec31_dec_sub15_rc_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_rc_sel = dec31_dec_sub20_dec31_dec_sub20_rc_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
dec31_rc_sel = dec31_dec_sub21_dec31_dec_sub21_rc_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_rc_sel = dec31_dec_sub23_dec31_dec_sub23_rc_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_rc_sel = dec31_dec_sub16_dec31_dec_sub16_rc_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_rc_sel = dec31_dec_sub18_dec31_dec_sub18_rc_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_rc_sel = dec31_dec_sub8_dec31_dec_sub8_rc_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_rc_sel = dec31_dec_sub24_dec31_dec_sub24_rc_sel;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_rc_sel = dec31_dec_sub4_dec31_dec_sub4_rc_sel;
endcase
always @* begin
if (\initial ) begin end
dec31_cry_in = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opc_in)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_cry_in = dec31_dec_sub10_dec31_dec_sub10_cry_in;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_cry_in = dec31_dec_sub28_dec31_dec_sub28_cry_in;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_cry_in = dec31_dec_sub0_dec31_dec_sub0_cry_in;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
dec31_cry_in = dec31_dec_sub26_dec31_dec_sub26_cry_in;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
dec31_cry_in = dec31_dec_sub19_dec31_dec_sub19_cry_in;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_cry_in = dec31_dec_sub22_dec31_dec_sub22_cry_in;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_cry_in = dec31_dec_sub9_dec31_dec_sub9_cry_in;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_cry_in = dec31_dec_sub11_dec31_dec_sub11_cry_in;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_cry_in = dec31_dec_sub27_dec31_dec_sub27_cry_in;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_cry_in = dec31_dec_sub15_dec31_dec_sub15_cry_in;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_cry_in = dec31_dec_sub20_dec31_dec_sub20_cry_in;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
dec31_cry_in = dec31_dec_sub21_dec31_dec_sub21_cry_in;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_cry_in = dec31_dec_sub23_dec31_dec_sub23_cry_in;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_cry_in = dec31_dec_sub16_dec31_dec_sub16_cry_in;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_cry_in = dec31_dec_sub18_dec31_dec_sub18_cry_in;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_cry_in = dec31_dec_sub8_dec31_dec_sub8_cry_in;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_cry_in = dec31_dec_sub24_dec31_dec_sub24_cry_in;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_cry_in = dec31_dec_sub4_dec31_dec_sub4_cry_in;
endcase
always @* begin
if (\initial ) begin end
dec31_inv_a = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opc_in)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_inv_a = dec31_dec_sub10_dec31_dec_sub10_inv_a;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_inv_a = dec31_dec_sub28_dec31_dec_sub28_inv_a;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_inv_a = dec31_dec_sub0_dec31_dec_sub0_inv_a;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
dec31_inv_a = dec31_dec_sub26_dec31_dec_sub26_inv_a;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
dec31_inv_a = dec31_dec_sub19_dec31_dec_sub19_inv_a;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_inv_a = dec31_dec_sub22_dec31_dec_sub22_inv_a;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_inv_a = dec31_dec_sub9_dec31_dec_sub9_inv_a;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_inv_a = dec31_dec_sub11_dec31_dec_sub11_inv_a;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_inv_a = dec31_dec_sub27_dec31_dec_sub27_inv_a;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_inv_a = dec31_dec_sub15_dec31_dec_sub15_inv_a;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_inv_a = dec31_dec_sub20_dec31_dec_sub20_inv_a;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
dec31_inv_a = dec31_dec_sub21_dec31_dec_sub21_inv_a;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_inv_a = dec31_dec_sub23_dec31_dec_sub23_inv_a;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_inv_a = dec31_dec_sub16_dec31_dec_sub16_inv_a;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_inv_a = dec31_dec_sub18_dec31_dec_sub18_inv_a;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_inv_a = dec31_dec_sub8_dec31_dec_sub8_inv_a;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_inv_a = dec31_dec_sub24_dec31_dec_sub24_inv_a;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_inv_a = dec31_dec_sub4_dec31_dec_sub4_inv_a;
endcase
always @* begin
if (\initial ) begin end
dec31_inv_out = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opc_in)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_inv_out = dec31_dec_sub10_dec31_dec_sub10_inv_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_inv_out = dec31_dec_sub28_dec31_dec_sub28_inv_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_inv_out = dec31_dec_sub0_dec31_dec_sub0_inv_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
dec31_inv_out = dec31_dec_sub26_dec31_dec_sub26_inv_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
dec31_inv_out = dec31_dec_sub19_dec31_dec_sub19_inv_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_inv_out = dec31_dec_sub22_dec31_dec_sub22_inv_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_inv_out = dec31_dec_sub9_dec31_dec_sub9_inv_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_inv_out = dec31_dec_sub11_dec31_dec_sub11_inv_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_inv_out = dec31_dec_sub27_dec31_dec_sub27_inv_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_inv_out = dec31_dec_sub15_dec31_dec_sub15_inv_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_inv_out = dec31_dec_sub20_dec31_dec_sub20_inv_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
dec31_inv_out = dec31_dec_sub21_dec31_dec_sub21_inv_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_inv_out = dec31_dec_sub23_dec31_dec_sub23_inv_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_inv_out = dec31_dec_sub16_dec31_dec_sub16_inv_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_inv_out = dec31_dec_sub18_dec31_dec_sub18_inv_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_inv_out = dec31_dec_sub8_dec31_dec_sub8_inv_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_inv_out = dec31_dec_sub24_dec31_dec_sub24_inv_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_inv_out = dec31_dec_sub4_dec31_dec_sub4_inv_out;
endcase
always @* begin
if (\initial ) begin end
dec31_cry_out = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opc_in)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_cry_out = dec31_dec_sub10_dec31_dec_sub10_cry_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_cry_out = dec31_dec_sub28_dec31_dec_sub28_cry_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_cry_out = dec31_dec_sub0_dec31_dec_sub0_cry_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
dec31_cry_out = dec31_dec_sub26_dec31_dec_sub26_cry_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
dec31_cry_out = dec31_dec_sub19_dec31_dec_sub19_cry_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_cry_out = dec31_dec_sub22_dec31_dec_sub22_cry_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_cry_out = dec31_dec_sub9_dec31_dec_sub9_cry_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_cry_out = dec31_dec_sub11_dec31_dec_sub11_cry_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_cry_out = dec31_dec_sub27_dec31_dec_sub27_cry_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_cry_out = dec31_dec_sub15_dec31_dec_sub15_cry_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_cry_out = dec31_dec_sub20_dec31_dec_sub20_cry_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
dec31_cry_out = dec31_dec_sub21_dec31_dec_sub21_cry_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_cry_out = dec31_dec_sub23_dec31_dec_sub23_cry_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_cry_out = dec31_dec_sub16_dec31_dec_sub16_cry_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_cry_out = dec31_dec_sub18_dec31_dec_sub18_cry_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_cry_out = dec31_dec_sub8_dec31_dec_sub8_cry_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_cry_out = dec31_dec_sub24_dec31_dec_sub24_cry_out;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_cry_out = dec31_dec_sub4_dec31_dec_sub4_cry_out;
endcase
always @* begin
if (\initial ) begin end
dec31_br = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opc_in)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_br = dec31_dec_sub10_dec31_dec_sub10_br;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_br = dec31_dec_sub28_dec31_dec_sub28_br;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_br = dec31_dec_sub0_dec31_dec_sub0_br;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
dec31_br = dec31_dec_sub26_dec31_dec_sub26_br;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
dec31_br = dec31_dec_sub19_dec31_dec_sub19_br;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_br = dec31_dec_sub22_dec31_dec_sub22_br;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_br = dec31_dec_sub9_dec31_dec_sub9_br;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_br = dec31_dec_sub11_dec31_dec_sub11_br;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_br = dec31_dec_sub27_dec31_dec_sub27_br;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_br = dec31_dec_sub15_dec31_dec_sub15_br;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_br = dec31_dec_sub20_dec31_dec_sub20_br;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
dec31_br = dec31_dec_sub21_dec31_dec_sub21_br;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_br = dec31_dec_sub23_dec31_dec_sub23_br;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_br = dec31_dec_sub16_dec31_dec_sub16_br;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_br = dec31_dec_sub18_dec31_dec_sub18_br;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_br = dec31_dec_sub8_dec31_dec_sub8_br;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_br = dec31_dec_sub24_dec31_dec_sub24_br;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_br = dec31_dec_sub4_dec31_dec_sub4_br;
endcase
always @* begin
if (\initial ) begin end
dec31_sgn_ext = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opc_in)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_sgn_ext = dec31_dec_sub10_dec31_dec_sub10_sgn_ext;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_sgn_ext = dec31_dec_sub28_dec31_dec_sub28_sgn_ext;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_sgn_ext = dec31_dec_sub0_dec31_dec_sub0_sgn_ext;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
dec31_sgn_ext = dec31_dec_sub26_dec31_dec_sub26_sgn_ext;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
dec31_sgn_ext = dec31_dec_sub19_dec31_dec_sub19_sgn_ext;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_sgn_ext = dec31_dec_sub22_dec31_dec_sub22_sgn_ext;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_sgn_ext = dec31_dec_sub9_dec31_dec_sub9_sgn_ext;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_sgn_ext = dec31_dec_sub11_dec31_dec_sub11_sgn_ext;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_sgn_ext = dec31_dec_sub27_dec31_dec_sub27_sgn_ext;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_sgn_ext = dec31_dec_sub15_dec31_dec_sub15_sgn_ext;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_sgn_ext = dec31_dec_sub20_dec31_dec_sub20_sgn_ext;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
dec31_sgn_ext = dec31_dec_sub21_dec31_dec_sub21_sgn_ext;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_sgn_ext = dec31_dec_sub23_dec31_dec_sub23_sgn_ext;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_sgn_ext = dec31_dec_sub16_dec31_dec_sub16_sgn_ext;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_sgn_ext = dec31_dec_sub18_dec31_dec_sub18_sgn_ext;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_sgn_ext = dec31_dec_sub8_dec31_dec_sub8_sgn_ext;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_sgn_ext = dec31_dec_sub24_dec31_dec_sub24_sgn_ext;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_sgn_ext = dec31_dec_sub4_dec31_dec_sub4_sgn_ext;
endcase
always @* begin
if (\initial ) begin end
dec31_rsrv = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opc_in)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_rsrv = dec31_dec_sub10_dec31_dec_sub10_rsrv;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_rsrv = dec31_dec_sub28_dec31_dec_sub28_rsrv;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_rsrv = dec31_dec_sub0_dec31_dec_sub0_rsrv;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
dec31_rsrv = dec31_dec_sub26_dec31_dec_sub26_rsrv;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
dec31_rsrv = dec31_dec_sub19_dec31_dec_sub19_rsrv;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_rsrv = dec31_dec_sub22_dec31_dec_sub22_rsrv;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_rsrv = dec31_dec_sub9_dec31_dec_sub9_rsrv;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_rsrv = dec31_dec_sub11_dec31_dec_sub11_rsrv;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_rsrv = dec31_dec_sub27_dec31_dec_sub27_rsrv;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_rsrv = dec31_dec_sub15_dec31_dec_sub15_rsrv;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_rsrv = dec31_dec_sub20_dec31_dec_sub20_rsrv;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
dec31_rsrv = dec31_dec_sub21_dec31_dec_sub21_rsrv;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_rsrv = dec31_dec_sub23_dec31_dec_sub23_rsrv;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_rsrv = dec31_dec_sub16_dec31_dec_sub16_rsrv;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_rsrv = dec31_dec_sub18_dec31_dec_sub18_rsrv;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_rsrv = dec31_dec_sub8_dec31_dec_sub8_rsrv;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_rsrv = dec31_dec_sub24_dec31_dec_sub24_rsrv;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_rsrv = dec31_dec_sub4_dec31_dec_sub4_rsrv;
endcase
always @* begin
if (\initial ) begin end
dec31_is_32b = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opc_in)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_is_32b = dec31_dec_sub10_dec31_dec_sub10_is_32b;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_is_32b = dec31_dec_sub28_dec31_dec_sub28_is_32b;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_is_32b = dec31_dec_sub0_dec31_dec_sub0_is_32b;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
dec31_is_32b = dec31_dec_sub26_dec31_dec_sub26_is_32b;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
dec31_is_32b = dec31_dec_sub19_dec31_dec_sub19_is_32b;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_is_32b = dec31_dec_sub22_dec31_dec_sub22_is_32b;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_is_32b = dec31_dec_sub9_dec31_dec_sub9_is_32b;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_is_32b = dec31_dec_sub11_dec31_dec_sub11_is_32b;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_is_32b = dec31_dec_sub27_dec31_dec_sub27_is_32b;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_is_32b = dec31_dec_sub15_dec31_dec_sub15_is_32b;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_is_32b = dec31_dec_sub20_dec31_dec_sub20_is_32b;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
dec31_is_32b = dec31_dec_sub21_dec31_dec_sub21_is_32b;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_is_32b = dec31_dec_sub23_dec31_dec_sub23_is_32b;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_is_32b = dec31_dec_sub16_dec31_dec_sub16_is_32b;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_is_32b = dec31_dec_sub18_dec31_dec_sub18_is_32b;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_is_32b = dec31_dec_sub8_dec31_dec_sub8_is_32b;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_is_32b = dec31_dec_sub24_dec31_dec_sub24_is_32b;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_is_32b = dec31_dec_sub4_dec31_dec_sub4_is_32b;
endcase
always @* begin
if (\initial ) begin end
dec31_sgn = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opc_in)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_sgn = dec31_dec_sub10_dec31_dec_sub10_sgn;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_sgn = dec31_dec_sub28_dec31_dec_sub28_sgn;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_sgn = dec31_dec_sub0_dec31_dec_sub0_sgn;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
dec31_sgn = dec31_dec_sub26_dec31_dec_sub26_sgn;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
dec31_sgn = dec31_dec_sub19_dec31_dec_sub19_sgn;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_sgn = dec31_dec_sub22_dec31_dec_sub22_sgn;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_sgn = dec31_dec_sub9_dec31_dec_sub9_sgn;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_sgn = dec31_dec_sub11_dec31_dec_sub11_sgn;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_sgn = dec31_dec_sub27_dec31_dec_sub27_sgn;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_sgn = dec31_dec_sub15_dec31_dec_sub15_sgn;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_sgn = dec31_dec_sub20_dec31_dec_sub20_sgn;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
dec31_sgn = dec31_dec_sub21_dec31_dec_sub21_sgn;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_sgn = dec31_dec_sub23_dec31_dec_sub23_sgn;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_sgn = dec31_dec_sub16_dec31_dec_sub16_sgn;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_sgn = dec31_dec_sub18_dec31_dec_sub18_sgn;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_sgn = dec31_dec_sub8_dec31_dec_sub8_sgn;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_sgn = dec31_dec_sub24_dec31_dec_sub24_sgn;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_sgn = dec31_dec_sub4_dec31_dec_sub4_sgn;
endcase
always @* begin
if (\initial ) begin end
dec31_lk = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opc_in)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_lk = dec31_dec_sub10_dec31_dec_sub10_lk;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_lk = dec31_dec_sub28_dec31_dec_sub28_lk;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_lk = dec31_dec_sub0_dec31_dec_sub0_lk;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
dec31_lk = dec31_dec_sub26_dec31_dec_sub26_lk;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
dec31_lk = dec31_dec_sub19_dec31_dec_sub19_lk;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_lk = dec31_dec_sub22_dec31_dec_sub22_lk;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_lk = dec31_dec_sub9_dec31_dec_sub9_lk;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_lk = dec31_dec_sub11_dec31_dec_sub11_lk;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_lk = dec31_dec_sub27_dec31_dec_sub27_lk;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_lk = dec31_dec_sub15_dec31_dec_sub15_lk;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_lk = dec31_dec_sub20_dec31_dec_sub20_lk;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
dec31_lk = dec31_dec_sub21_dec31_dec_sub21_lk;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_lk = dec31_dec_sub23_dec31_dec_sub23_lk;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_lk = dec31_dec_sub16_dec31_dec_sub16_lk;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_lk = dec31_dec_sub18_dec31_dec_sub18_lk;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_lk = dec31_dec_sub8_dec31_dec_sub8_lk;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_lk = dec31_dec_sub24_dec31_dec_sub24_lk;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_lk = dec31_dec_sub4_dec31_dec_sub4_lk;
endcase
always @* begin
if (\initial ) begin end
dec31_sgl_pipe = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opc_in)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_sgl_pipe = dec31_dec_sub10_dec31_dec_sub10_sgl_pipe;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_sgl_pipe = dec31_dec_sub28_dec31_dec_sub28_sgl_pipe;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_sgl_pipe = dec31_dec_sub0_dec31_dec_sub0_sgl_pipe;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
dec31_sgl_pipe = dec31_dec_sub26_dec31_dec_sub26_sgl_pipe;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
dec31_sgl_pipe = dec31_dec_sub19_dec31_dec_sub19_sgl_pipe;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_sgl_pipe = dec31_dec_sub22_dec31_dec_sub22_sgl_pipe;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_sgl_pipe = dec31_dec_sub9_dec31_dec_sub9_sgl_pipe;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_sgl_pipe = dec31_dec_sub11_dec31_dec_sub11_sgl_pipe;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_sgl_pipe = dec31_dec_sub27_dec31_dec_sub27_sgl_pipe;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_sgl_pipe = dec31_dec_sub15_dec31_dec_sub15_sgl_pipe;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_sgl_pipe = dec31_dec_sub20_dec31_dec_sub20_sgl_pipe;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
dec31_sgl_pipe = dec31_dec_sub21_dec31_dec_sub21_sgl_pipe;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_sgl_pipe = dec31_dec_sub23_dec31_dec_sub23_sgl_pipe;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_sgl_pipe = dec31_dec_sub16_dec31_dec_sub16_sgl_pipe;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_sgl_pipe = dec31_dec_sub18_dec31_dec_sub18_sgl_pipe;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_sgl_pipe = dec31_dec_sub8_dec31_dec_sub8_sgl_pipe;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_sgl_pipe = dec31_dec_sub24_dec31_dec_sub24_sgl_pipe;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_sgl_pipe = dec31_dec_sub4_dec31_dec_sub4_sgl_pipe;
endcase
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "EXTRA2" *)
(* enum_value_10 = "EXTRA3" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] dec31_dec_sub0_SV_Etype;
reg [1:0] dec31_dec_sub0_SV_Etype;
(* enum_base_type = "SVPtype" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "P1" *)
(* enum_value_10 = "P2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] dec31_dec_sub0_SV_Ptype;
reg [1:0] dec31_dec_sub0_SV_Ptype;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [7:0] dec31_dec_sub0_asmcode;
reg [7:0] dec31_dec_sub0_asmcode;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub0_br;
reg dec31_dec_sub0_br;
(* enum_base_type = "CRInSel" *)
(* enum_value_101 = "BC" *)
(* enum_value_110 = "WHOLE_REG" *)
(* enum_value_111 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub0_cr_in;
reg [2:0] dec31_dec_sub0_cr_in;
(* enum_base_type = "CROutSel" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub0_cr_out;
reg [2:0] dec31_dec_sub0_cr_out;
(* enum_base_type = "CryIn" *)
(* enum_value_00 = "ZERO" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "CA" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] dec31_dec_sub0_cry_in;
reg [1:0] dec31_dec_sub0_cry_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub0_cry_out;
reg dec31_dec_sub0_cry_out;
(* enum_base_type = "Form" *)
(* enum_value_11011 = "Z22" *)
(* enum_value_11100 = "Z23" *)
(* enum_value_11101 = "SVL" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [4:0] dec31_dec_sub0_form;
reg [4:0] dec31_dec_sub0_form;
(* enum_base_type = "Function" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [14:0] dec31_dec_sub0_function_unit;
reg [14:0] dec31_dec_sub0_function_unit;
(* enum_base_type = "In1Sel" *)
(* enum_value_100 = "RS" *)
(* enum_value_101 = "FRA" *)
(* enum_value_110 = "FRS" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub0_in1_sel;
reg [2:0] dec31_dec_sub0_in1_sel;
(* enum_base_type = "In2Sel" *)
(* enum_value_1100 = "SPR" *)
(* enum_value_1101 = "RS" *)
(* enum_value_1110 = "FRB" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [3:0] dec31_dec_sub0_in2_sel;
reg [3:0] dec31_dec_sub0_in2_sel;
(* enum_base_type = "In3Sel" *)
(* enum_value_010 = "RB" *)
(* enum_value_011 = "FRS" *)
(* enum_value_100 = "FRC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub0_in3_sel;
reg [2:0] dec31_dec_sub0_in3_sel;
(* enum_base_type = "MicrOp" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [6:0] dec31_dec_sub0_internal_op;
reg [6:0] dec31_dec_sub0_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub0_inv_a;
reg dec31_dec_sub0_inv_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub0_inv_out;
reg dec31_dec_sub0_inv_out;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub0_is_32b;
reg dec31_dec_sub0_is_32b;
(* enum_base_type = "LdstLen" *)
(* enum_value_0010 = "is2B" *)
(* enum_value_0100 = "is4B" *)
(* enum_value_1000 = "is8B" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [3:0] dec31_dec_sub0_ldst_len;
reg [3:0] dec31_dec_sub0_ldst_len;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub0_lk;
reg dec31_dec_sub0_lk;
(* enum_base_type = "OutSel" *)
(* enum_value_011 = "SPR" *)
(* enum_value_100 = "RT_OR_ZERO" *)
(* enum_value_101 = "FRT" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub0_out_sel;
reg [2:0] dec31_dec_sub0_out_sel;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] dec31_dec_sub0_rc_sel;
reg [1:0] dec31_dec_sub0_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub0_rsrv;
reg dec31_dec_sub0_rsrv;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub0_sgl_pipe;
reg dec31_dec_sub0_sgl_pipe;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub0_sgn;
reg dec31_dec_sub0_sgn;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub0_sgn_ext;
reg dec31_dec_sub0_sgn_ext;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub0_sv_cr_in;
reg [2:0] dec31_dec_sub0_sv_cr_in;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub0_sv_cr_out;
reg [2:0] dec31_dec_sub0_sv_cr_out;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub0_sv_in1;
reg [2:0] dec31_dec_sub0_sv_in1;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub0_sv_in2;
reg [2:0] dec31_dec_sub0_sv_in2;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub0_sv_in3;
reg [2:0] dec31_dec_sub0_sv_in3;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub0_sv_out;
reg [2:0] dec31_dec_sub0_sv_out;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub0_sv_out2;
reg [2:0] dec31_dec_sub0_sv_out2;
(* enum_base_type = "LDSTMode" *)
(* enum_value_01 = "update" *)
(* enum_value_10 = "cix" *)
(* enum_value_11 = "cx" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] dec31_dec_sub0_upd;
reg [1:0] dec31_dec_sub0_upd;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
input [31:0] opcode_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
wire [4:0] opcode_switch;
always @* begin
if (\initial ) begin end
dec31_dec_sub0_function_unit = 15'h0000;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub0_function_unit = 15'h0002;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub0_function_unit = 15'h0002;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub0_function_unit = 15'h0002;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub0_function_unit = 15'h0040;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub0_cr_in = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub0_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub0_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub0_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub0_cr_in = 3'h3;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub0_cr_out = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub0_cr_out = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub0_cr_out = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub0_cr_out = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub0_cr_out = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub0_sv_in1 = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub0_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub0_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub0_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub0_sv_in1 = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub0_sv_in2 = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub0_sv_in2 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub0_sv_in2 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub0_sv_in2 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub0_sv_in2 = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub0_sv_in3 = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub0_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub0_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub0_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub0_sv_in3 = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub0_sv_out = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub0_sv_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub0_sv_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub0_sv_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub0_sv_out = 3'h1;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub0_sv_out2 = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub0_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub0_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub0_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub0_sv_out2 = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub0_sv_cr_in = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub0_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub0_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub0_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub0_sv_cr_in = 3'h2;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub0_sv_cr_out = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub0_sv_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub0_sv_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub0_sv_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub0_sv_cr_out = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub0_ldst_len = 4'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub0_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub0_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub0_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub0_ldst_len = 4'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub0_internal_op = 7'h00;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub0_internal_op = 7'h0a;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub0_internal_op = 7'h0c;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub0_internal_op = 7'h0a;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub0_internal_op = 7'h3b;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub0_upd = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub0_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub0_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub0_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub0_upd = 2'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub0_rc_sel = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub0_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub0_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub0_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub0_rc_sel = 2'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub0_cry_in = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub0_cry_in = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub0_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub0_cry_in = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub0_cry_in = 2'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub0_asmcode = 8'h00;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub0_asmcode = 8'h1a;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub0_asmcode = 8'h1c;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub0_asmcode = 8'h1e;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub0_asmcode = 8'hbb;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub0_inv_a = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub0_inv_a = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub0_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub0_inv_a = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub0_inv_a = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub0_inv_out = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub0_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub0_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub0_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub0_inv_out = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub0_cry_out = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub0_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub0_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub0_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub0_cry_out = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub0_br = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub0_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub0_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub0_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub0_br = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub0_sgn_ext = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub0_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub0_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub0_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub0_sgn_ext = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub0_rsrv = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub0_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub0_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub0_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub0_rsrv = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub0_form = 5'h00;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub0_form = 5'h08;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub0_form = 5'h08;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub0_form = 5'h08;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub0_form = 5'h18;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub0_is_32b = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub0_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub0_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub0_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub0_is_32b = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub0_sgn = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub0_sgn = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub0_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub0_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub0_sgn = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub0_lk = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub0_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub0_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub0_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub0_lk = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub0_sgl_pipe = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub0_sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub0_sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub0_sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub0_sgl_pipe = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub0_SV_Etype = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub0_SV_Etype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub0_SV_Etype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub0_SV_Etype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub0_SV_Etype = 2'h2;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub0_SV_Ptype = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub0_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub0_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub0_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub0_SV_Ptype = 2'h2;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub0_in1_sel = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub0_in1_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub0_in1_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub0_in1_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub0_in1_sel = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub0_in2_sel = 4'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub0_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub0_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub0_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub0_in2_sel = 4'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub0_in3_sel = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub0_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub0_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub0_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub0_in3_sel = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub0_out_sel = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub0_out_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub0_out_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub0_out_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub0_out_sel = 3'h1;
endcase
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "EXTRA2" *)
(* enum_value_10 = "EXTRA3" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] dec31_dec_sub10_SV_Etype;
reg [1:0] dec31_dec_sub10_SV_Etype;
(* enum_base_type = "SVPtype" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "P1" *)
(* enum_value_10 = "P2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] dec31_dec_sub10_SV_Ptype;
reg [1:0] dec31_dec_sub10_SV_Ptype;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [7:0] dec31_dec_sub10_asmcode;
reg [7:0] dec31_dec_sub10_asmcode;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub10_br;
reg dec31_dec_sub10_br;
(* enum_base_type = "CRInSel" *)
(* enum_value_101 = "BC" *)
(* enum_value_110 = "WHOLE_REG" *)
(* enum_value_111 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub10_cr_in;
reg [2:0] dec31_dec_sub10_cr_in;
(* enum_base_type = "CROutSel" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub10_cr_out;
reg [2:0] dec31_dec_sub10_cr_out;
(* enum_base_type = "CryIn" *)
(* enum_value_00 = "ZERO" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "CA" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] dec31_dec_sub10_cry_in;
reg [1:0] dec31_dec_sub10_cry_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub10_cry_out;
reg dec31_dec_sub10_cry_out;
(* enum_base_type = "Form" *)
(* enum_value_11011 = "Z22" *)
(* enum_value_11100 = "Z23" *)
(* enum_value_11101 = "SVL" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [4:0] dec31_dec_sub10_form;
reg [4:0] dec31_dec_sub10_form;
(* enum_base_type = "Function" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [14:0] dec31_dec_sub10_function_unit;
reg [14:0] dec31_dec_sub10_function_unit;
(* enum_base_type = "In1Sel" *)
(* enum_value_100 = "RS" *)
(* enum_value_101 = "FRA" *)
(* enum_value_110 = "FRS" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub10_in1_sel;
reg [2:0] dec31_dec_sub10_in1_sel;
(* enum_base_type = "In2Sel" *)
(* enum_value_1100 = "SPR" *)
(* enum_value_1101 = "RS" *)
(* enum_value_1110 = "FRB" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [3:0] dec31_dec_sub10_in2_sel;
reg [3:0] dec31_dec_sub10_in2_sel;
(* enum_base_type = "In3Sel" *)
(* enum_value_010 = "RB" *)
(* enum_value_011 = "FRS" *)
(* enum_value_100 = "FRC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub10_in3_sel;
reg [2:0] dec31_dec_sub10_in3_sel;
(* enum_base_type = "MicrOp" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [6:0] dec31_dec_sub10_internal_op;
reg [6:0] dec31_dec_sub10_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub10_inv_a;
reg dec31_dec_sub10_inv_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub10_inv_out;
reg dec31_dec_sub10_inv_out;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub10_is_32b;
reg dec31_dec_sub10_is_32b;
(* enum_base_type = "LdstLen" *)
(* enum_value_0010 = "is2B" *)
(* enum_value_0100 = "is4B" *)
(* enum_value_1000 = "is8B" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [3:0] dec31_dec_sub10_ldst_len;
reg [3:0] dec31_dec_sub10_ldst_len;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub10_lk;
reg dec31_dec_sub10_lk;
(* enum_base_type = "OutSel" *)
(* enum_value_011 = "SPR" *)
(* enum_value_100 = "RT_OR_ZERO" *)
(* enum_value_101 = "FRT" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub10_out_sel;
reg [2:0] dec31_dec_sub10_out_sel;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] dec31_dec_sub10_rc_sel;
reg [1:0] dec31_dec_sub10_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub10_rsrv;
reg dec31_dec_sub10_rsrv;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub10_sgl_pipe;
reg dec31_dec_sub10_sgl_pipe;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub10_sgn;
reg dec31_dec_sub10_sgn;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub10_sgn_ext;
reg dec31_dec_sub10_sgn_ext;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub10_sv_cr_in;
reg [2:0] dec31_dec_sub10_sv_cr_in;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub10_sv_cr_out;
reg [2:0] dec31_dec_sub10_sv_cr_out;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub10_sv_in1;
reg [2:0] dec31_dec_sub10_sv_in1;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub10_sv_in2;
reg [2:0] dec31_dec_sub10_sv_in2;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub10_sv_in3;
reg [2:0] dec31_dec_sub10_sv_in3;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub10_sv_out;
reg [2:0] dec31_dec_sub10_sv_out;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub10_sv_out2;
reg [2:0] dec31_dec_sub10_sv_out2;
(* enum_base_type = "LDSTMode" *)
(* enum_value_01 = "update" *)
(* enum_value_10 = "cix" *)
(* enum_value_11 = "cx" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] dec31_dec_sub10_upd;
reg [1:0] dec31_dec_sub10_upd;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
input [31:0] opcode_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
wire [4:0] opcode_switch;
always @* begin
if (\initial ) begin end
dec31_dec_sub10_function_unit = 15'h0000;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub10_function_unit = 15'h0002;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub10_function_unit = 15'h0002;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub10_function_unit = 15'h0002;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub10_function_unit = 15'h0002;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub10_function_unit = 15'h0002;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub10_function_unit = 15'h0002;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub10_function_unit = 15'h0002;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub10_function_unit = 15'h0002;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub10_function_unit = 15'h0002;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub10_function_unit = 15'h0002;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub10_cr_in = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub10_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub10_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub10_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub10_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub10_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub10_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub10_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub10_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub10_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub10_cr_in = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub10_cr_out = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub10_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub10_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub10_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub10_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub10_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub10_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub10_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub10_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub10_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub10_cr_out = 3'h1;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub10_sv_in1 = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub10_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub10_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub10_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub10_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub10_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub10_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub10_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub10_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub10_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub10_sv_in1 = 3'h2;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub10_sv_in2 = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub10_sv_in2 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub10_sv_in2 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub10_sv_in2 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub10_sv_in2 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub10_sv_in2 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub10_sv_in2 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub10_sv_in2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub10_sv_in2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub10_sv_in2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub10_sv_in2 = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub10_sv_in3 = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub10_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub10_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub10_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub10_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub10_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub10_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub10_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub10_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub10_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub10_sv_in3 = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub10_sv_out = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub10_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub10_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub10_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub10_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub10_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub10_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub10_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub10_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub10_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub10_sv_out = 3'h1;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub10_sv_out2 = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub10_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub10_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub10_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub10_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub10_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub10_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub10_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub10_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub10_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub10_sv_out2 = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub10_sv_cr_in = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub10_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub10_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub10_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub10_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub10_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub10_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub10_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub10_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub10_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub10_sv_cr_in = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub10_sv_cr_out = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub10_sv_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub10_sv_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub10_sv_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub10_sv_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub10_sv_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub10_sv_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub10_sv_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub10_sv_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub10_sv_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub10_sv_cr_out = 3'h1;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub10_ldst_len = 4'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub10_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub10_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub10_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub10_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub10_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub10_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub10_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub10_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub10_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub10_ldst_len = 4'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub10_internal_op = 7'h00;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub10_internal_op = 7'h02;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub10_internal_op = 7'h02;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub10_internal_op = 7'h02;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub10_internal_op = 7'h02;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub10_internal_op = 7'h02;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub10_internal_op = 7'h02;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub10_internal_op = 7'h02;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub10_internal_op = 7'h02;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub10_internal_op = 7'h02;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub10_internal_op = 7'h02;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub10_upd = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub10_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub10_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub10_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub10_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub10_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub10_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub10_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub10_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub10_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub10_upd = 2'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub10_rc_sel = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub10_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub10_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub10_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub10_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub10_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub10_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub10_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub10_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub10_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub10_rc_sel = 2'h2;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub10_cry_in = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub10_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub10_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub10_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub10_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub10_cry_in = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub10_cry_in = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub10_cry_in = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub10_cry_in = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub10_cry_in = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub10_cry_in = 2'h2;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub10_asmcode = 8'h00;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub10_asmcode = 8'h01;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub10_asmcode = 8'h0c;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub10_asmcode = 8'h02;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub10_asmcode = 8'h03;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub10_asmcode = 8'h04;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub10_asmcode = 8'h05;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub10_asmcode = 8'h0a;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub10_asmcode = 8'h0b;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub10_asmcode = 8'h0d;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub10_asmcode = 8'h0e;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub10_inv_a = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub10_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub10_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub10_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub10_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub10_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub10_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub10_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub10_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub10_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub10_inv_a = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub10_inv_out = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub10_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub10_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub10_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub10_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub10_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub10_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub10_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub10_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub10_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub10_inv_out = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub10_cry_out = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub10_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub10_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub10_cry_out = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub10_cry_out = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub10_cry_out = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub10_cry_out = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub10_cry_out = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub10_cry_out = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub10_cry_out = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub10_cry_out = 1'h1;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub10_br = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub10_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub10_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub10_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub10_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub10_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub10_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub10_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub10_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub10_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub10_br = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub10_sgn_ext = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub10_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub10_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub10_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub10_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub10_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub10_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub10_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub10_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub10_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub10_sgn_ext = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub10_rsrv = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub10_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub10_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub10_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub10_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub10_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub10_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub10_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub10_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub10_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub10_rsrv = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub10_form = 5'h00;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub10_form = 5'h11;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub10_form = 5'h11;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub10_form = 5'h11;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub10_form = 5'h11;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub10_form = 5'h11;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub10_form = 5'h11;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub10_form = 5'h11;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub10_form = 5'h11;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub10_form = 5'h11;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub10_form = 5'h11;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub10_is_32b = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub10_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub10_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub10_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub10_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub10_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub10_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub10_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub10_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub10_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub10_is_32b = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub10_sgn = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub10_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub10_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub10_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub10_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub10_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub10_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub10_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub10_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub10_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub10_sgn = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub10_lk = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub10_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub10_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub10_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub10_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub10_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub10_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub10_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub10_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub10_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub10_lk = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub10_sgl_pipe = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub10_sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub10_sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub10_sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub10_sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub10_sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub10_sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub10_sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub10_sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub10_sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub10_sgl_pipe = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub10_SV_Etype = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub10_SV_Etype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub10_SV_Etype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub10_SV_Etype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub10_SV_Etype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub10_SV_Etype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub10_SV_Etype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub10_SV_Etype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub10_SV_Etype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub10_SV_Etype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub10_SV_Etype = 2'h2;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub10_SV_Ptype = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub10_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub10_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub10_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub10_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub10_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub10_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub10_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub10_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub10_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub10_SV_Ptype = 2'h2;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub10_in1_sel = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub10_in1_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub10_in1_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub10_in1_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub10_in1_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub10_in1_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub10_in1_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub10_in1_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub10_in1_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub10_in1_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub10_in1_sel = 3'h1;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub10_in2_sel = 4'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub10_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub10_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub10_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub10_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub10_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub10_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub10_in2_sel = 4'h9;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub10_in2_sel = 4'h9;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub10_in2_sel = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub10_in2_sel = 4'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub10_in3_sel = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub10_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub10_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub10_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub10_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub10_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub10_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub10_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub10_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub10_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub10_in3_sel = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub10_out_sel = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub10_out_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub10_out_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub10_out_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub10_out_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub10_out_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub10_out_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub10_out_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub10_out_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub10_out_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub10_out_sel = 3'h1;
endcase
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "EXTRA2" *)
(* enum_value_10 = "EXTRA3" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] dec31_dec_sub11_SV_Etype;
reg [1:0] dec31_dec_sub11_SV_Etype;
(* enum_base_type = "SVPtype" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "P1" *)
(* enum_value_10 = "P2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] dec31_dec_sub11_SV_Ptype;
reg [1:0] dec31_dec_sub11_SV_Ptype;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [7:0] dec31_dec_sub11_asmcode;
reg [7:0] dec31_dec_sub11_asmcode;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub11_br;
reg dec31_dec_sub11_br;
(* enum_base_type = "CRInSel" *)
(* enum_value_101 = "BC" *)
(* enum_value_110 = "WHOLE_REG" *)
(* enum_value_111 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub11_cr_in;
reg [2:0] dec31_dec_sub11_cr_in;
(* enum_base_type = "CROutSel" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub11_cr_out;
reg [2:0] dec31_dec_sub11_cr_out;
(* enum_base_type = "CryIn" *)
(* enum_value_00 = "ZERO" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "CA" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] dec31_dec_sub11_cry_in;
reg [1:0] dec31_dec_sub11_cry_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub11_cry_out;
reg dec31_dec_sub11_cry_out;
(* enum_base_type = "Form" *)
(* enum_value_11011 = "Z22" *)
(* enum_value_11100 = "Z23" *)
(* enum_value_11101 = "SVL" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [4:0] dec31_dec_sub11_form;
reg [4:0] dec31_dec_sub11_form;
(* enum_base_type = "Function" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [14:0] dec31_dec_sub11_function_unit;
reg [14:0] dec31_dec_sub11_function_unit;
(* enum_base_type = "In1Sel" *)
(* enum_value_100 = "RS" *)
(* enum_value_101 = "FRA" *)
(* enum_value_110 = "FRS" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub11_in1_sel;
reg [2:0] dec31_dec_sub11_in1_sel;
(* enum_base_type = "In2Sel" *)
(* enum_value_1100 = "SPR" *)
(* enum_value_1101 = "RS" *)
(* enum_value_1110 = "FRB" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [3:0] dec31_dec_sub11_in2_sel;
reg [3:0] dec31_dec_sub11_in2_sel;
(* enum_base_type = "In3Sel" *)
(* enum_value_010 = "RB" *)
(* enum_value_011 = "FRS" *)
(* enum_value_100 = "FRC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub11_in3_sel;
reg [2:0] dec31_dec_sub11_in3_sel;
(* enum_base_type = "MicrOp" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [6:0] dec31_dec_sub11_internal_op;
reg [6:0] dec31_dec_sub11_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub11_inv_a;
reg dec31_dec_sub11_inv_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub11_inv_out;
reg dec31_dec_sub11_inv_out;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub11_is_32b;
reg dec31_dec_sub11_is_32b;
(* enum_base_type = "LdstLen" *)
(* enum_value_0010 = "is2B" *)
(* enum_value_0100 = "is4B" *)
(* enum_value_1000 = "is8B" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [3:0] dec31_dec_sub11_ldst_len;
reg [3:0] dec31_dec_sub11_ldst_len;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub11_lk;
reg dec31_dec_sub11_lk;
(* enum_base_type = "OutSel" *)
(* enum_value_011 = "SPR" *)
(* enum_value_100 = "RT_OR_ZERO" *)
(* enum_value_101 = "FRT" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub11_out_sel;
reg [2:0] dec31_dec_sub11_out_sel;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] dec31_dec_sub11_rc_sel;
reg [1:0] dec31_dec_sub11_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub11_rsrv;
reg dec31_dec_sub11_rsrv;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub11_sgl_pipe;
reg dec31_dec_sub11_sgl_pipe;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub11_sgn;
reg dec31_dec_sub11_sgn;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub11_sgn_ext;
reg dec31_dec_sub11_sgn_ext;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub11_sv_cr_in;
reg [2:0] dec31_dec_sub11_sv_cr_in;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub11_sv_cr_out;
reg [2:0] dec31_dec_sub11_sv_cr_out;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub11_sv_in1;
reg [2:0] dec31_dec_sub11_sv_in1;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub11_sv_in2;
reg [2:0] dec31_dec_sub11_sv_in2;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub11_sv_in3;
reg [2:0] dec31_dec_sub11_sv_in3;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub11_sv_out;
reg [2:0] dec31_dec_sub11_sv_out;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub11_sv_out2;
reg [2:0] dec31_dec_sub11_sv_out2;
(* enum_base_type = "LDSTMode" *)
(* enum_value_01 = "update" *)
(* enum_value_10 = "cix" *)
(* enum_value_11 = "cx" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] dec31_dec_sub11_upd;
reg [1:0] dec31_dec_sub11_upd;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
input [31:0] opcode_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
wire [4:0] opcode_switch;
always @* begin
if (\initial ) begin end
dec31_dec_sub11_function_unit = 15'h0000;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub11_function_unit = 15'h0200;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub11_function_unit = 15'h0200;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub11_function_unit = 15'h0200;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub11_function_unit = 15'h0200;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub11_function_unit = 15'h0200;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub11_function_unit = 15'h0200;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub11_function_unit = 15'h0200;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub11_function_unit = 15'h0200;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub11_function_unit = 15'h0200;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub11_function_unit = 15'h0200;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub11_function_unit = 15'h0100;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub11_function_unit = 15'h0100;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub11_function_unit = 15'h0100;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub11_function_unit = 15'h0100;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub11_function_unit = 15'h0100;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub11_function_unit = 15'h0100;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub11_cr_in = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub11_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub11_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub11_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub11_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub11_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub11_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub11_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub11_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub11_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub11_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub11_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub11_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub11_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub11_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub11_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub11_cr_in = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub11_cr_out = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub11_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub11_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub11_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub11_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub11_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub11_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub11_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub11_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub11_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub11_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub11_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub11_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub11_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub11_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub11_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub11_cr_out = 3'h1;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub11_sv_in1 = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub11_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub11_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub11_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub11_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub11_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub11_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub11_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub11_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub11_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub11_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub11_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub11_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub11_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub11_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub11_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub11_sv_in1 = 3'h2;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub11_sv_in2 = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub11_sv_in2 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub11_sv_in2 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub11_sv_in2 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub11_sv_in2 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub11_sv_in2 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub11_sv_in2 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub11_sv_in2 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub11_sv_in2 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub11_sv_in2 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub11_sv_in2 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub11_sv_in2 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub11_sv_in2 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub11_sv_in2 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub11_sv_in2 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub11_sv_in2 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub11_sv_in2 = 3'h3;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub11_sv_in3 = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub11_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub11_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub11_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub11_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub11_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub11_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub11_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub11_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub11_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub11_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub11_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub11_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub11_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub11_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub11_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub11_sv_in3 = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub11_sv_out = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub11_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub11_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub11_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub11_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub11_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub11_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub11_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub11_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub11_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub11_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub11_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub11_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub11_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub11_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub11_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub11_sv_out = 3'h1;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub11_sv_out2 = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub11_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub11_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub11_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub11_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub11_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub11_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub11_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub11_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub11_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub11_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub11_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub11_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub11_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub11_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub11_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub11_sv_out2 = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub11_sv_cr_in = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub11_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub11_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub11_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub11_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub11_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub11_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub11_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub11_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub11_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub11_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub11_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub11_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub11_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub11_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub11_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub11_sv_cr_in = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub11_sv_cr_out = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub11_sv_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub11_sv_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub11_sv_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub11_sv_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub11_sv_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub11_sv_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub11_sv_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub11_sv_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub11_sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub11_sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub11_sv_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub11_sv_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub11_sv_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub11_sv_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub11_sv_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub11_sv_cr_out = 3'h1;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub11_ldst_len = 4'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub11_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub11_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub11_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub11_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub11_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub11_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub11_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub11_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub11_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub11_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub11_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub11_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub11_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub11_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub11_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub11_ldst_len = 4'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub11_internal_op = 7'h00;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub11_internal_op = 7'h1e;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub11_internal_op = 7'h1e;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub11_internal_op = 7'h1e;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub11_internal_op = 7'h1e;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub11_internal_op = 7'h1d;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub11_internal_op = 7'h1d;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub11_internal_op = 7'h1d;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub11_internal_op = 7'h1d;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub11_internal_op = 7'h2f;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub11_internal_op = 7'h2f;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub11_internal_op = 7'h34;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub11_internal_op = 7'h34;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub11_internal_op = 7'h34;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub11_internal_op = 7'h34;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub11_internal_op = 7'h32;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub11_internal_op = 7'h32;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub11_upd = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub11_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub11_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub11_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub11_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub11_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub11_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub11_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub11_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub11_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub11_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub11_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub11_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub11_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub11_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub11_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub11_upd = 2'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub11_rc_sel = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub11_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub11_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub11_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub11_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub11_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub11_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub11_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub11_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub11_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub11_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub11_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub11_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub11_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub11_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub11_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub11_rc_sel = 2'h2;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub11_cry_in = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub11_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub11_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub11_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub11_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub11_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub11_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub11_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub11_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub11_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub11_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub11_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub11_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub11_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub11_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub11_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub11_cry_in = 2'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub11_asmcode = 8'h00;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub11_asmcode = 8'h3e;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub11_asmcode = 8'h3f;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub11_asmcode = 8'h3c;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub11_asmcode = 8'h3d;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub11_asmcode = 8'h41;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub11_asmcode = 8'h42;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub11_asmcode = 8'h3b;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub11_asmcode = 8'h40;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub11_asmcode = 8'h95;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub11_asmcode = 8'h93;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub11_asmcode = 8'h9c;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub11_asmcode = 8'h9d;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub11_asmcode = 8'h9c;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub11_asmcode = 8'h9d;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub11_asmcode = 8'ha1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub11_asmcode = 8'ha2;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub11_inv_a = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub11_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub11_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub11_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub11_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub11_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub11_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub11_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub11_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub11_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub11_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub11_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub11_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub11_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub11_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub11_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub11_inv_a = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub11_inv_out = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub11_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub11_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub11_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub11_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub11_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub11_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub11_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub11_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub11_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub11_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub11_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub11_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub11_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub11_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub11_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub11_inv_out = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub11_cry_out = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub11_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub11_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub11_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub11_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub11_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub11_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub11_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub11_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub11_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub11_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub11_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub11_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub11_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub11_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub11_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub11_cry_out = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub11_br = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub11_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub11_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub11_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub11_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub11_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub11_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub11_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub11_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub11_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub11_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub11_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub11_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub11_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub11_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub11_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub11_br = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub11_sgn_ext = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub11_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub11_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub11_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub11_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub11_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub11_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub11_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub11_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub11_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub11_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub11_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub11_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub11_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub11_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub11_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub11_sgn_ext = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub11_rsrv = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub11_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub11_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub11_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub11_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub11_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub11_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub11_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub11_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub11_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub11_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub11_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub11_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub11_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub11_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub11_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub11_rsrv = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub11_form = 5'h00;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub11_form = 5'h11;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub11_form = 5'h11;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub11_form = 5'h11;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub11_form = 5'h11;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub11_form = 5'h11;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub11_form = 5'h11;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub11_form = 5'h11;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub11_form = 5'h11;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub11_form = 5'h08;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub11_form = 5'h08;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub11_form = 5'h11;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub11_form = 5'h11;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub11_form = 5'h11;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub11_form = 5'h11;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub11_form = 5'h11;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub11_form = 5'h11;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub11_is_32b = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub11_is_32b = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub11_is_32b = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub11_is_32b = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub11_is_32b = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub11_is_32b = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub11_is_32b = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub11_is_32b = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub11_is_32b = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub11_is_32b = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub11_is_32b = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub11_is_32b = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub11_is_32b = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub11_is_32b = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub11_is_32b = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub11_is_32b = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub11_is_32b = 1'h1;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub11_sgn = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub11_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub11_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub11_sgn = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub11_sgn = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub11_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub11_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub11_sgn = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub11_sgn = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub11_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub11_sgn = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub11_sgn = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub11_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub11_sgn = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub11_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub11_sgn = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub11_sgn = 1'h1;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub11_lk = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub11_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub11_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub11_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub11_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub11_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub11_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub11_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub11_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub11_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub11_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub11_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub11_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub11_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub11_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub11_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub11_lk = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub11_sgl_pipe = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub11_sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub11_sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub11_sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub11_sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub11_sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub11_sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub11_sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub11_sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub11_sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub11_sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub11_sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub11_sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub11_sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub11_sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub11_sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub11_sgl_pipe = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub11_SV_Etype = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub11_SV_Etype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub11_SV_Etype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub11_SV_Etype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub11_SV_Etype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub11_SV_Etype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub11_SV_Etype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub11_SV_Etype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub11_SV_Etype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub11_SV_Etype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub11_SV_Etype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub11_SV_Etype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub11_SV_Etype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub11_SV_Etype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub11_SV_Etype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub11_SV_Etype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub11_SV_Etype = 2'h2;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub11_SV_Ptype = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub11_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub11_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub11_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub11_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub11_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub11_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub11_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub11_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub11_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub11_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub11_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub11_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub11_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub11_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub11_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub11_SV_Ptype = 2'h1;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub11_in1_sel = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub11_in1_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub11_in1_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub11_in1_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub11_in1_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub11_in1_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub11_in1_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub11_in1_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub11_in1_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub11_in1_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub11_in1_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub11_in1_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub11_in1_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub11_in1_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub11_in1_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub11_in1_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub11_in1_sel = 3'h1;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub11_in2_sel = 4'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub11_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub11_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub11_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub11_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub11_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub11_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub11_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub11_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub11_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub11_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub11_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub11_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub11_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub11_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub11_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub11_in2_sel = 4'h1;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub11_in3_sel = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub11_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub11_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub11_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub11_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub11_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub11_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub11_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub11_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub11_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub11_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub11_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub11_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub11_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub11_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub11_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub11_in3_sel = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub11_out_sel = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub11_out_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub11_out_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub11_out_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub11_out_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub11_out_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub11_out_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub11_out_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub11_out_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub11_out_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub11_out_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub11_out_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub11_out_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub11_out_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub11_out_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub11_out_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub11_out_sel = 3'h1;
endcase
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "EXTRA2" *)
(* enum_value_10 = "EXTRA3" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] dec31_dec_sub15_SV_Etype;
reg [1:0] dec31_dec_sub15_SV_Etype;
(* enum_base_type = "SVPtype" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "P1" *)
(* enum_value_10 = "P2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] dec31_dec_sub15_SV_Ptype;
reg [1:0] dec31_dec_sub15_SV_Ptype;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [7:0] dec31_dec_sub15_asmcode;
reg [7:0] dec31_dec_sub15_asmcode;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub15_br;
reg dec31_dec_sub15_br;
(* enum_base_type = "CRInSel" *)
(* enum_value_101 = "BC" *)
(* enum_value_110 = "WHOLE_REG" *)
(* enum_value_111 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub15_cr_in;
reg [2:0] dec31_dec_sub15_cr_in;
(* enum_base_type = "CROutSel" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub15_cr_out;
reg [2:0] dec31_dec_sub15_cr_out;
(* enum_base_type = "CryIn" *)
(* enum_value_00 = "ZERO" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "CA" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] dec31_dec_sub15_cry_in;
reg [1:0] dec31_dec_sub15_cry_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub15_cry_out;
reg dec31_dec_sub15_cry_out;
(* enum_base_type = "Form" *)
(* enum_value_11011 = "Z22" *)
(* enum_value_11100 = "Z23" *)
(* enum_value_11101 = "SVL" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [4:0] dec31_dec_sub15_form;
reg [4:0] dec31_dec_sub15_form;
(* enum_base_type = "Function" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [14:0] dec31_dec_sub15_function_unit;
reg [14:0] dec31_dec_sub15_function_unit;
(* enum_base_type = "In1Sel" *)
(* enum_value_100 = "RS" *)
(* enum_value_101 = "FRA" *)
(* enum_value_110 = "FRS" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub15_in1_sel;
reg [2:0] dec31_dec_sub15_in1_sel;
(* enum_base_type = "In2Sel" *)
(* enum_value_1100 = "SPR" *)
(* enum_value_1101 = "RS" *)
(* enum_value_1110 = "FRB" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [3:0] dec31_dec_sub15_in2_sel;
reg [3:0] dec31_dec_sub15_in2_sel;
(* enum_base_type = "In3Sel" *)
(* enum_value_010 = "RB" *)
(* enum_value_011 = "FRS" *)
(* enum_value_100 = "FRC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub15_in3_sel;
reg [2:0] dec31_dec_sub15_in3_sel;
(* enum_base_type = "MicrOp" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [6:0] dec31_dec_sub15_internal_op;
reg [6:0] dec31_dec_sub15_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub15_inv_a;
reg dec31_dec_sub15_inv_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub15_inv_out;
reg dec31_dec_sub15_inv_out;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub15_is_32b;
reg dec31_dec_sub15_is_32b;
(* enum_base_type = "LdstLen" *)
(* enum_value_0010 = "is2B" *)
(* enum_value_0100 = "is4B" *)
(* enum_value_1000 = "is8B" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [3:0] dec31_dec_sub15_ldst_len;
reg [3:0] dec31_dec_sub15_ldst_len;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub15_lk;
reg dec31_dec_sub15_lk;
(* enum_base_type = "OutSel" *)
(* enum_value_011 = "SPR" *)
(* enum_value_100 = "RT_OR_ZERO" *)
(* enum_value_101 = "FRT" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub15_out_sel;
reg [2:0] dec31_dec_sub15_out_sel;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] dec31_dec_sub15_rc_sel;
reg [1:0] dec31_dec_sub15_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub15_rsrv;
reg dec31_dec_sub15_rsrv;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub15_sgl_pipe;
reg dec31_dec_sub15_sgl_pipe;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub15_sgn;
reg dec31_dec_sub15_sgn;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub15_sgn_ext;
reg dec31_dec_sub15_sgn_ext;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub15_sv_cr_in;
reg [2:0] dec31_dec_sub15_sv_cr_in;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub15_sv_cr_out;
reg [2:0] dec31_dec_sub15_sv_cr_out;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub15_sv_in1;
reg [2:0] dec31_dec_sub15_sv_in1;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub15_sv_in2;
reg [2:0] dec31_dec_sub15_sv_in2;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub15_sv_in3;
reg [2:0] dec31_dec_sub15_sv_in3;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub15_sv_out;
reg [2:0] dec31_dec_sub15_sv_out;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub15_sv_out2;
reg [2:0] dec31_dec_sub15_sv_out2;
(* enum_base_type = "LDSTMode" *)
(* enum_value_01 = "update" *)
(* enum_value_10 = "cix" *)
(* enum_value_11 = "cx" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] dec31_dec_sub15_upd;
reg [1:0] dec31_dec_sub15_upd;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
input [31:0] opcode_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
wire [4:0] opcode_switch;
always @* begin
if (\initial ) begin end
(* full_case = 32'd1 *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub15_function_unit = 15'h0040;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub15_function_unit = 15'h0040;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub15_function_unit = 15'h0040;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub15_function_unit = 15'h0040;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub15_function_unit = 15'h0040;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub15_function_unit = 15'h0040;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub15_function_unit = 15'h0040;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub15_function_unit = 15'h0040;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub15_function_unit = 15'h0040;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_dec_sub15_function_unit = 15'h0040;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_dec_sub15_function_unit = 15'h0040;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_dec_sub15_function_unit = 15'h0040;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub15_function_unit = 15'h0040;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub15_function_unit = 15'h0040;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub15_function_unit = 15'h0040;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub15_function_unit = 15'h0040;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub15_function_unit = 15'h0040;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
dec31_dec_sub15_function_unit = 15'h0040;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub15_function_unit = 15'h0040;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
dec31_dec_sub15_function_unit = 15'h0040;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub15_function_unit = 15'h0040;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
dec31_dec_sub15_function_unit = 15'h0040;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub15_function_unit = 15'h0040;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub15_function_unit = 15'h0040;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub15_function_unit = 15'h0040;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub15_function_unit = 15'h0040;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
dec31_dec_sub15_function_unit = 15'h0040;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub15_function_unit = 15'h0040;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub15_function_unit = 15'h0040;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub15_function_unit = 15'h0040;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub15_function_unit = 15'h0040;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub15_function_unit = 15'h0040;
endcase
always @* begin
if (\initial ) begin end
(* full_case = 32'd1 *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub15_cr_in = 3'h5;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub15_cr_in = 3'h5;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub15_cr_in = 3'h5;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub15_cr_in = 3'h5;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub15_cr_in = 3'h5;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub15_cr_in = 3'h5;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub15_cr_in = 3'h5;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub15_cr_in = 3'h5;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub15_cr_in = 3'h5;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_dec_sub15_cr_in = 3'h5;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_dec_sub15_cr_in = 3'h5;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_dec_sub15_cr_in = 3'h5;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub15_cr_in = 3'h5;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub15_cr_in = 3'h5;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub15_cr_in = 3'h5;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub15_cr_in = 3'h5;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub15_cr_in = 3'h5;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
dec31_dec_sub15_cr_in = 3'h5;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub15_cr_in = 3'h5;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
dec31_dec_sub15_cr_in = 3'h5;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub15_cr_in = 3'h5;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
dec31_dec_sub15_cr_in = 3'h5;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub15_cr_in = 3'h5;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub15_cr_in = 3'h5;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub15_cr_in = 3'h5;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub15_cr_in = 3'h5;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
dec31_dec_sub15_cr_in = 3'h5;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub15_cr_in = 3'h5;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub15_cr_in = 3'h5;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub15_cr_in = 3'h5;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub15_cr_in = 3'h5;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub15_cr_in = 3'h5;
endcase
always @* begin
if (\initial ) begin end
(* full_case = 32'd1 *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub15_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub15_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub15_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub15_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub15_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub15_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub15_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub15_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub15_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_dec_sub15_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_dec_sub15_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_dec_sub15_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub15_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub15_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub15_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub15_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub15_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
dec31_dec_sub15_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub15_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
dec31_dec_sub15_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub15_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
dec31_dec_sub15_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub15_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub15_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub15_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub15_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
dec31_dec_sub15_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub15_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub15_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub15_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub15_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub15_cr_out = 3'h0;
endcase
always @* begin
if (\initial ) begin end
(* full_case = 32'd1 *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub15_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub15_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub15_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub15_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub15_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub15_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub15_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub15_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub15_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_dec_sub15_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_dec_sub15_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_dec_sub15_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub15_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub15_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub15_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub15_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub15_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
dec31_dec_sub15_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub15_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
dec31_dec_sub15_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub15_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
dec31_dec_sub15_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub15_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub15_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub15_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub15_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
dec31_dec_sub15_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub15_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub15_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub15_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub15_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub15_sv_in1 = 3'h2;
endcase
always @* begin
if (\initial ) begin end
(* full_case = 32'd1 *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub15_sv_in2 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub15_sv_in2 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub15_sv_in2 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub15_sv_in2 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub15_sv_in2 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub15_sv_in2 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub15_sv_in2 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub15_sv_in2 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub15_sv_in2 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_dec_sub15_sv_in2 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_dec_sub15_sv_in2 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_dec_sub15_sv_in2 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub15_sv_in2 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub15_sv_in2 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub15_sv_in2 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub15_sv_in2 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub15_sv_in2 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
dec31_dec_sub15_sv_in2 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub15_sv_in2 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
dec31_dec_sub15_sv_in2 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub15_sv_in2 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
dec31_dec_sub15_sv_in2 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub15_sv_in2 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub15_sv_in2 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub15_sv_in2 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub15_sv_in2 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
dec31_dec_sub15_sv_in2 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub15_sv_in2 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub15_sv_in2 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub15_sv_in2 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub15_sv_in2 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub15_sv_in2 = 3'h3;
endcase
always @* begin
if (\initial ) begin end
(* full_case = 32'd1 *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub15_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub15_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub15_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub15_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub15_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub15_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub15_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub15_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub15_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_dec_sub15_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_dec_sub15_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_dec_sub15_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub15_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub15_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub15_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub15_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub15_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
dec31_dec_sub15_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub15_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
dec31_dec_sub15_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub15_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
dec31_dec_sub15_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub15_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub15_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub15_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub15_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
dec31_dec_sub15_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub15_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub15_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub15_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub15_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub15_sv_in3 = 3'h0;
endcase
always @* begin
if (\initial ) begin end
(* full_case = 32'd1 *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub15_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub15_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub15_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub15_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub15_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub15_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub15_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub15_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub15_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_dec_sub15_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_dec_sub15_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_dec_sub15_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub15_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub15_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub15_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub15_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub15_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
dec31_dec_sub15_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub15_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
dec31_dec_sub15_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub15_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
dec31_dec_sub15_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub15_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub15_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub15_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub15_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
dec31_dec_sub15_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub15_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub15_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub15_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub15_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub15_sv_out = 3'h1;
endcase
always @* begin
if (\initial ) begin end
(* full_case = 32'd1 *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub15_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub15_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub15_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub15_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub15_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub15_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub15_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub15_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub15_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_dec_sub15_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_dec_sub15_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_dec_sub15_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub15_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub15_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub15_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub15_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub15_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
dec31_dec_sub15_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub15_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
dec31_dec_sub15_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub15_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
dec31_dec_sub15_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub15_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub15_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub15_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub15_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
dec31_dec_sub15_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub15_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub15_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub15_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub15_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub15_sv_out2 = 3'h0;
endcase
always @* begin
if (\initial ) begin end
(* full_case = 32'd1 *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub15_sv_cr_in = 3'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub15_sv_cr_in = 3'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub15_sv_cr_in = 3'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub15_sv_cr_in = 3'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub15_sv_cr_in = 3'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub15_sv_cr_in = 3'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub15_sv_cr_in = 3'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub15_sv_cr_in = 3'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub15_sv_cr_in = 3'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_dec_sub15_sv_cr_in = 3'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_dec_sub15_sv_cr_in = 3'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_dec_sub15_sv_cr_in = 3'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub15_sv_cr_in = 3'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub15_sv_cr_in = 3'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub15_sv_cr_in = 3'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub15_sv_cr_in = 3'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub15_sv_cr_in = 3'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
dec31_dec_sub15_sv_cr_in = 3'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub15_sv_cr_in = 3'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
dec31_dec_sub15_sv_cr_in = 3'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub15_sv_cr_in = 3'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
dec31_dec_sub15_sv_cr_in = 3'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub15_sv_cr_in = 3'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub15_sv_cr_in = 3'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub15_sv_cr_in = 3'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub15_sv_cr_in = 3'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
dec31_dec_sub15_sv_cr_in = 3'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub15_sv_cr_in = 3'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub15_sv_cr_in = 3'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub15_sv_cr_in = 3'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub15_sv_cr_in = 3'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub15_sv_cr_in = 3'h4;
endcase
always @* begin
if (\initial ) begin end
(* full_case = 32'd1 *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub15_sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub15_sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub15_sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub15_sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub15_sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub15_sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub15_sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub15_sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub15_sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_dec_sub15_sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_dec_sub15_sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_dec_sub15_sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub15_sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub15_sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub15_sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub15_sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub15_sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
dec31_dec_sub15_sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub15_sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
dec31_dec_sub15_sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub15_sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
dec31_dec_sub15_sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub15_sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub15_sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub15_sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub15_sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
dec31_dec_sub15_sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub15_sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub15_sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub15_sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub15_sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub15_sv_cr_out = 3'h0;
endcase
always @* begin
if (\initial ) begin end
(* full_case = 32'd1 *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub15_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub15_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub15_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub15_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub15_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub15_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub15_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub15_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub15_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_dec_sub15_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_dec_sub15_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_dec_sub15_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub15_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub15_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub15_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub15_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub15_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
dec31_dec_sub15_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub15_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
dec31_dec_sub15_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub15_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
dec31_dec_sub15_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub15_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub15_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub15_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub15_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
dec31_dec_sub15_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub15_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub15_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub15_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub15_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub15_ldst_len = 4'h0;
endcase
always @* begin
if (\initial ) begin end
(* full_case = 32'd1 *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub15_internal_op = 7'h23;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub15_internal_op = 7'h23;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub15_internal_op = 7'h23;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub15_internal_op = 7'h23;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub15_internal_op = 7'h23;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub15_internal_op = 7'h23;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub15_internal_op = 7'h23;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub15_internal_op = 7'h23;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub15_internal_op = 7'h23;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_dec_sub15_internal_op = 7'h23;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_dec_sub15_internal_op = 7'h23;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_dec_sub15_internal_op = 7'h23;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub15_internal_op = 7'h23;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub15_internal_op = 7'h23;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub15_internal_op = 7'h23;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub15_internal_op = 7'h23;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub15_internal_op = 7'h23;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
dec31_dec_sub15_internal_op = 7'h23;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub15_internal_op = 7'h23;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
dec31_dec_sub15_internal_op = 7'h23;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub15_internal_op = 7'h23;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
dec31_dec_sub15_internal_op = 7'h23;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub15_internal_op = 7'h23;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub15_internal_op = 7'h23;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub15_internal_op = 7'h23;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub15_internal_op = 7'h23;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
dec31_dec_sub15_internal_op = 7'h23;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub15_internal_op = 7'h23;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub15_internal_op = 7'h23;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub15_internal_op = 7'h23;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub15_internal_op = 7'h23;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub15_internal_op = 7'h23;
endcase
always @* begin
if (\initial ) begin end
(* full_case = 32'd1 *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub15_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub15_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub15_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub15_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub15_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub15_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub15_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub15_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub15_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_dec_sub15_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_dec_sub15_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_dec_sub15_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub15_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub15_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub15_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub15_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub15_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
dec31_dec_sub15_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub15_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
dec31_dec_sub15_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub15_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
dec31_dec_sub15_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub15_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub15_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub15_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub15_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
dec31_dec_sub15_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub15_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub15_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub15_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub15_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub15_upd = 2'h0;
endcase
always @* begin
if (\initial ) begin end
(* full_case = 32'd1 *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub15_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub15_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub15_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub15_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub15_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub15_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub15_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub15_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub15_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_dec_sub15_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_dec_sub15_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_dec_sub15_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub15_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub15_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub15_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub15_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub15_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
dec31_dec_sub15_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub15_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
dec31_dec_sub15_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub15_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
dec31_dec_sub15_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub15_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub15_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub15_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub15_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
dec31_dec_sub15_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub15_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub15_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub15_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub15_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub15_rc_sel = 2'h0;
endcase
always @* begin
if (\initial ) begin end
(* full_case = 32'd1 *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub15_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub15_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub15_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub15_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub15_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub15_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub15_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub15_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub15_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_dec_sub15_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_dec_sub15_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_dec_sub15_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub15_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub15_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub15_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub15_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub15_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
dec31_dec_sub15_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub15_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
dec31_dec_sub15_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub15_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
dec31_dec_sub15_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub15_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub15_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub15_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub15_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
dec31_dec_sub15_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub15_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub15_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub15_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub15_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub15_cry_in = 2'h0;
endcase
always @* begin
if (\initial ) begin end
(* full_case = 32'd1 *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub15_asmcode = 8'h61;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub15_asmcode = 8'h61;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub15_asmcode = 8'h61;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub15_asmcode = 8'h61;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub15_asmcode = 8'h61;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub15_asmcode = 8'h61;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub15_asmcode = 8'h61;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub15_asmcode = 8'h61;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub15_asmcode = 8'h61;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_dec_sub15_asmcode = 8'h61;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_dec_sub15_asmcode = 8'h61;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_dec_sub15_asmcode = 8'h61;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub15_asmcode = 8'h61;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub15_asmcode = 8'h61;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub15_asmcode = 8'h61;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub15_asmcode = 8'h61;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub15_asmcode = 8'h61;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
dec31_dec_sub15_asmcode = 8'h61;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub15_asmcode = 8'h61;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
dec31_dec_sub15_asmcode = 8'h61;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub15_asmcode = 8'h61;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
dec31_dec_sub15_asmcode = 8'h61;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub15_asmcode = 8'h61;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub15_asmcode = 8'h61;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub15_asmcode = 8'h61;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub15_asmcode = 8'h61;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
dec31_dec_sub15_asmcode = 8'h61;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub15_asmcode = 8'h61;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub15_asmcode = 8'h61;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub15_asmcode = 8'h61;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub15_asmcode = 8'h61;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub15_asmcode = 8'h61;
endcase
always @* begin
if (\initial ) begin end
(* full_case = 32'd1 *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub15_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub15_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub15_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub15_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub15_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub15_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub15_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub15_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub15_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_dec_sub15_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_dec_sub15_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_dec_sub15_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub15_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub15_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub15_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub15_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub15_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
dec31_dec_sub15_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub15_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
dec31_dec_sub15_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub15_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
dec31_dec_sub15_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub15_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub15_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub15_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub15_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
dec31_dec_sub15_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub15_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub15_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub15_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub15_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub15_inv_a = 1'h0;
endcase
always @* begin
if (\initial ) begin end
(* full_case = 32'd1 *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub15_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub15_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub15_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub15_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub15_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub15_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub15_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub15_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub15_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_dec_sub15_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_dec_sub15_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_dec_sub15_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub15_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub15_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub15_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub15_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub15_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
dec31_dec_sub15_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub15_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
dec31_dec_sub15_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub15_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
dec31_dec_sub15_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub15_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub15_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub15_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub15_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
dec31_dec_sub15_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub15_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub15_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub15_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub15_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub15_inv_out = 1'h0;
endcase
always @* begin
if (\initial ) begin end
(* full_case = 32'd1 *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub15_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub15_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub15_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub15_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub15_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub15_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub15_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub15_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub15_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_dec_sub15_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_dec_sub15_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_dec_sub15_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub15_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub15_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub15_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub15_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub15_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
dec31_dec_sub15_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub15_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
dec31_dec_sub15_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub15_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
dec31_dec_sub15_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub15_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub15_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub15_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub15_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
dec31_dec_sub15_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub15_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub15_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub15_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub15_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub15_cry_out = 1'h0;
endcase
always @* begin
if (\initial ) begin end
(* full_case = 32'd1 *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub15_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub15_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub15_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub15_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub15_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub15_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub15_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub15_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub15_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_dec_sub15_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_dec_sub15_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_dec_sub15_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub15_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub15_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub15_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub15_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub15_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
dec31_dec_sub15_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub15_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
dec31_dec_sub15_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub15_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
dec31_dec_sub15_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub15_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub15_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub15_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub15_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
dec31_dec_sub15_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub15_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub15_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub15_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub15_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub15_br = 1'h0;
endcase
always @* begin
if (\initial ) begin end
(* full_case = 32'd1 *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub15_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub15_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub15_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub15_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub15_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub15_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub15_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub15_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub15_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_dec_sub15_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_dec_sub15_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_dec_sub15_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub15_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub15_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub15_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub15_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub15_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
dec31_dec_sub15_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub15_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
dec31_dec_sub15_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub15_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
dec31_dec_sub15_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub15_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub15_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub15_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub15_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
dec31_dec_sub15_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub15_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub15_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub15_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub15_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub15_sgn_ext = 1'h0;
endcase
always @* begin
if (\initial ) begin end
(* full_case = 32'd1 *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub15_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub15_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub15_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub15_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub15_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub15_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub15_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub15_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub15_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_dec_sub15_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_dec_sub15_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_dec_sub15_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub15_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub15_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub15_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub15_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub15_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
dec31_dec_sub15_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub15_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
dec31_dec_sub15_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub15_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
dec31_dec_sub15_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub15_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub15_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub15_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub15_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
dec31_dec_sub15_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub15_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub15_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub15_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub15_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub15_rsrv = 1'h0;
endcase
always @* begin
if (\initial ) begin end
(* full_case = 32'd1 *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub15_form = 5'h12;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub15_form = 5'h12;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub15_form = 5'h12;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub15_form = 5'h12;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub15_form = 5'h12;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub15_form = 5'h12;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub15_form = 5'h12;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub15_form = 5'h12;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub15_form = 5'h12;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_dec_sub15_form = 5'h12;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_dec_sub15_form = 5'h12;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_dec_sub15_form = 5'h12;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub15_form = 5'h12;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub15_form = 5'h12;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub15_form = 5'h12;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub15_form = 5'h12;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub15_form = 5'h12;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
dec31_dec_sub15_form = 5'h12;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub15_form = 5'h12;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
dec31_dec_sub15_form = 5'h12;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub15_form = 5'h12;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
dec31_dec_sub15_form = 5'h12;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub15_form = 5'h12;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub15_form = 5'h12;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub15_form = 5'h12;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub15_form = 5'h12;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
dec31_dec_sub15_form = 5'h12;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub15_form = 5'h12;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub15_form = 5'h12;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub15_form = 5'h12;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub15_form = 5'h12;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub15_form = 5'h12;
endcase
always @* begin
if (\initial ) begin end
(* full_case = 32'd1 *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub15_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub15_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub15_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub15_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub15_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub15_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub15_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub15_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub15_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_dec_sub15_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_dec_sub15_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_dec_sub15_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub15_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub15_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub15_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub15_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub15_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
dec31_dec_sub15_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub15_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
dec31_dec_sub15_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub15_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
dec31_dec_sub15_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub15_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub15_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub15_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub15_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
dec31_dec_sub15_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub15_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub15_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub15_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub15_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub15_is_32b = 1'h0;
endcase
always @* begin
if (\initial ) begin end
(* full_case = 32'd1 *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub15_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub15_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub15_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub15_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub15_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub15_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub15_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub15_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub15_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_dec_sub15_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_dec_sub15_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_dec_sub15_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub15_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub15_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub15_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub15_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub15_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
dec31_dec_sub15_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub15_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
dec31_dec_sub15_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub15_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
dec31_dec_sub15_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub15_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub15_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub15_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub15_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
dec31_dec_sub15_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub15_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub15_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub15_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub15_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub15_sgn = 1'h0;
endcase
always @* begin
if (\initial ) begin end
(* full_case = 32'd1 *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub15_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub15_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub15_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub15_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub15_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub15_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub15_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub15_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub15_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_dec_sub15_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_dec_sub15_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_dec_sub15_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub15_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub15_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub15_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub15_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub15_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
dec31_dec_sub15_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub15_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
dec31_dec_sub15_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub15_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
dec31_dec_sub15_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub15_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub15_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub15_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub15_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
dec31_dec_sub15_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub15_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub15_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub15_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub15_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub15_lk = 1'h0;
endcase
always @* begin
if (\initial ) begin end
(* full_case = 32'd1 *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub15_sgl_pipe = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub15_sgl_pipe = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub15_sgl_pipe = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub15_sgl_pipe = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub15_sgl_pipe = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub15_sgl_pipe = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub15_sgl_pipe = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub15_sgl_pipe = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub15_sgl_pipe = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_dec_sub15_sgl_pipe = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_dec_sub15_sgl_pipe = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_dec_sub15_sgl_pipe = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub15_sgl_pipe = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub15_sgl_pipe = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub15_sgl_pipe = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub15_sgl_pipe = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub15_sgl_pipe = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
dec31_dec_sub15_sgl_pipe = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub15_sgl_pipe = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
dec31_dec_sub15_sgl_pipe = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub15_sgl_pipe = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
dec31_dec_sub15_sgl_pipe = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub15_sgl_pipe = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub15_sgl_pipe = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub15_sgl_pipe = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub15_sgl_pipe = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
dec31_dec_sub15_sgl_pipe = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub15_sgl_pipe = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub15_sgl_pipe = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub15_sgl_pipe = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub15_sgl_pipe = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub15_sgl_pipe = 1'h1;
endcase
always @* begin
if (\initial ) begin end
(* full_case = 32'd1 *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub15_SV_Etype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub15_SV_Etype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub15_SV_Etype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub15_SV_Etype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub15_SV_Etype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub15_SV_Etype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub15_SV_Etype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub15_SV_Etype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub15_SV_Etype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_dec_sub15_SV_Etype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_dec_sub15_SV_Etype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_dec_sub15_SV_Etype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub15_SV_Etype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub15_SV_Etype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub15_SV_Etype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub15_SV_Etype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub15_SV_Etype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
dec31_dec_sub15_SV_Etype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub15_SV_Etype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
dec31_dec_sub15_SV_Etype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub15_SV_Etype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
dec31_dec_sub15_SV_Etype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub15_SV_Etype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub15_SV_Etype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub15_SV_Etype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub15_SV_Etype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
dec31_dec_sub15_SV_Etype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub15_SV_Etype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub15_SV_Etype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub15_SV_Etype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub15_SV_Etype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub15_SV_Etype = 2'h1;
endcase
always @* begin
if (\initial ) begin end
(* full_case = 32'd1 *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub15_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub15_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub15_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub15_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub15_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub15_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub15_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub15_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub15_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_dec_sub15_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_dec_sub15_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_dec_sub15_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub15_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub15_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub15_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub15_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub15_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
dec31_dec_sub15_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub15_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
dec31_dec_sub15_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub15_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
dec31_dec_sub15_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub15_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub15_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub15_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub15_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
dec31_dec_sub15_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub15_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub15_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub15_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub15_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub15_SV_Ptype = 2'h1;
endcase
always @* begin
if (\initial ) begin end
(* full_case = 32'd1 *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub15_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub15_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub15_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub15_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub15_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub15_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub15_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub15_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub15_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_dec_sub15_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_dec_sub15_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_dec_sub15_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub15_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub15_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub15_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub15_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub15_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
dec31_dec_sub15_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub15_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
dec31_dec_sub15_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub15_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
dec31_dec_sub15_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub15_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub15_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub15_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub15_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
dec31_dec_sub15_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub15_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub15_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub15_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub15_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub15_in1_sel = 3'h2;
endcase
always @* begin
if (\initial ) begin end
(* full_case = 32'd1 *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub15_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub15_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub15_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub15_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub15_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub15_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub15_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub15_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub15_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_dec_sub15_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_dec_sub15_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_dec_sub15_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub15_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub15_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub15_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub15_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub15_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
dec31_dec_sub15_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub15_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
dec31_dec_sub15_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub15_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
dec31_dec_sub15_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub15_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub15_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub15_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub15_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
dec31_dec_sub15_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub15_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub15_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub15_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub15_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub15_in2_sel = 4'h1;
endcase
always @* begin
if (\initial ) begin end
(* full_case = 32'd1 *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub15_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub15_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub15_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub15_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub15_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub15_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub15_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub15_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub15_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_dec_sub15_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_dec_sub15_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_dec_sub15_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub15_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub15_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub15_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub15_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub15_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
dec31_dec_sub15_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub15_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
dec31_dec_sub15_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub15_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
dec31_dec_sub15_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub15_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub15_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub15_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub15_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
dec31_dec_sub15_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub15_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub15_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub15_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub15_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub15_in3_sel = 3'h0;
endcase
always @* begin
if (\initial ) begin end
(* full_case = 32'd1 *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub15_out_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub15_out_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub15_out_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub15_out_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub15_out_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub15_out_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub15_out_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub15_out_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub15_out_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_dec_sub15_out_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_dec_sub15_out_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_dec_sub15_out_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub15_out_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub15_out_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub15_out_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub15_out_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub15_out_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
dec31_dec_sub15_out_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub15_out_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
dec31_dec_sub15_out_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub15_out_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
dec31_dec_sub15_out_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub15_out_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub15_out_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub15_out_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub15_out_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
dec31_dec_sub15_out_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub15_out_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub15_out_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub15_out_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub15_out_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub15_out_sel = 3'h1;
endcase
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "EXTRA2" *)
(* enum_value_10 = "EXTRA3" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] dec31_dec_sub16_SV_Etype;
reg [1:0] dec31_dec_sub16_SV_Etype;
(* enum_base_type = "SVPtype" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "P1" *)
(* enum_value_10 = "P2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] dec31_dec_sub16_SV_Ptype;
reg [1:0] dec31_dec_sub16_SV_Ptype;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [7:0] dec31_dec_sub16_asmcode;
reg [7:0] dec31_dec_sub16_asmcode;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub16_br;
reg dec31_dec_sub16_br;
(* enum_base_type = "CRInSel" *)
(* enum_value_101 = "BC" *)
(* enum_value_110 = "WHOLE_REG" *)
(* enum_value_111 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub16_cr_in;
reg [2:0] dec31_dec_sub16_cr_in;
(* enum_base_type = "CROutSel" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub16_cr_out;
reg [2:0] dec31_dec_sub16_cr_out;
(* enum_base_type = "CryIn" *)
(* enum_value_00 = "ZERO" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "CA" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] dec31_dec_sub16_cry_in;
reg [1:0] dec31_dec_sub16_cry_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub16_cry_out;
reg dec31_dec_sub16_cry_out;
(* enum_base_type = "Form" *)
(* enum_value_11011 = "Z22" *)
(* enum_value_11100 = "Z23" *)
(* enum_value_11101 = "SVL" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [4:0] dec31_dec_sub16_form;
reg [4:0] dec31_dec_sub16_form;
(* enum_base_type = "Function" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [14:0] dec31_dec_sub16_function_unit;
reg [14:0] dec31_dec_sub16_function_unit;
(* enum_base_type = "In1Sel" *)
(* enum_value_100 = "RS" *)
(* enum_value_101 = "FRA" *)
(* enum_value_110 = "FRS" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub16_in1_sel;
reg [2:0] dec31_dec_sub16_in1_sel;
(* enum_base_type = "In2Sel" *)
(* enum_value_1100 = "SPR" *)
(* enum_value_1101 = "RS" *)
(* enum_value_1110 = "FRB" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [3:0] dec31_dec_sub16_in2_sel;
reg [3:0] dec31_dec_sub16_in2_sel;
(* enum_base_type = "In3Sel" *)
(* enum_value_010 = "RB" *)
(* enum_value_011 = "FRS" *)
(* enum_value_100 = "FRC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub16_in3_sel;
reg [2:0] dec31_dec_sub16_in3_sel;
(* enum_base_type = "MicrOp" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [6:0] dec31_dec_sub16_internal_op;
reg [6:0] dec31_dec_sub16_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub16_inv_a;
reg dec31_dec_sub16_inv_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub16_inv_out;
reg dec31_dec_sub16_inv_out;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub16_is_32b;
reg dec31_dec_sub16_is_32b;
(* enum_base_type = "LdstLen" *)
(* enum_value_0010 = "is2B" *)
(* enum_value_0100 = "is4B" *)
(* enum_value_1000 = "is8B" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [3:0] dec31_dec_sub16_ldst_len;
reg [3:0] dec31_dec_sub16_ldst_len;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub16_lk;
reg dec31_dec_sub16_lk;
(* enum_base_type = "OutSel" *)
(* enum_value_011 = "SPR" *)
(* enum_value_100 = "RT_OR_ZERO" *)
(* enum_value_101 = "FRT" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub16_out_sel;
reg [2:0] dec31_dec_sub16_out_sel;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] dec31_dec_sub16_rc_sel;
reg [1:0] dec31_dec_sub16_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub16_rsrv;
reg dec31_dec_sub16_rsrv;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub16_sgl_pipe;
reg dec31_dec_sub16_sgl_pipe;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub16_sgn;
reg dec31_dec_sub16_sgn;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub16_sgn_ext;
reg dec31_dec_sub16_sgn_ext;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub16_sv_cr_in;
reg [2:0] dec31_dec_sub16_sv_cr_in;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub16_sv_cr_out;
reg [2:0] dec31_dec_sub16_sv_cr_out;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub16_sv_in1;
reg [2:0] dec31_dec_sub16_sv_in1;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub16_sv_in2;
reg [2:0] dec31_dec_sub16_sv_in2;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub16_sv_in3;
reg [2:0] dec31_dec_sub16_sv_in3;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub16_sv_out;
reg [2:0] dec31_dec_sub16_sv_out;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub16_sv_out2;
reg [2:0] dec31_dec_sub16_sv_out2;
(* enum_base_type = "LDSTMode" *)
(* enum_value_01 = "update" *)
(* enum_value_10 = "cix" *)
(* enum_value_11 = "cx" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] dec31_dec_sub16_upd;
reg [1:0] dec31_dec_sub16_upd;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
input [31:0] opcode_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
wire [4:0] opcode_switch;
always @* begin
if (\initial ) begin end
dec31_dec_sub16_function_unit = 15'h0000;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub16_function_unit = 15'h0040;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub16_cr_in = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub16_cr_in = 3'h6;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub16_cr_out = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub16_cr_out = 3'h4;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub16_sv_in1 = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub16_sv_in1 = 3'h2;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub16_sv_in2 = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub16_sv_in2 = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub16_sv_in3 = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub16_sv_in3 = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub16_sv_out = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub16_sv_out = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub16_sv_out2 = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub16_sv_out2 = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub16_sv_cr_in = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub16_sv_cr_in = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub16_sv_cr_out = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub16_sv_cr_out = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub16_ldst_len = 4'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub16_ldst_len = 4'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub16_internal_op = 7'h00;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub16_internal_op = 7'h30;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub16_upd = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub16_upd = 2'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub16_rc_sel = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub16_rc_sel = 2'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub16_cry_in = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub16_cry_in = 2'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub16_asmcode = 8'h00;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub16_asmcode = 8'h96;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub16_inv_a = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub16_inv_a = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub16_inv_out = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub16_inv_out = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub16_cry_out = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub16_cry_out = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub16_br = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub16_br = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub16_sgn_ext = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub16_sgn_ext = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub16_rsrv = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub16_rsrv = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub16_form = 5'h00;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub16_form = 5'h0a;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub16_is_32b = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub16_is_32b = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub16_sgn = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub16_sgn = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub16_lk = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub16_lk = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub16_sgl_pipe = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub16_sgl_pipe = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub16_SV_Etype = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub16_SV_Etype = 2'h1;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub16_SV_Ptype = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub16_SV_Ptype = 2'h2;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub16_in1_sel = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub16_in1_sel = 3'h4;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub16_in2_sel = 4'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub16_in2_sel = 4'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub16_in3_sel = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub16_in3_sel = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub16_out_sel = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub16_out_sel = 3'h0;
endcase
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "EXTRA2" *)
(* enum_value_10 = "EXTRA3" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] dec31_dec_sub18_SV_Etype;
reg [1:0] dec31_dec_sub18_SV_Etype;
(* enum_base_type = "SVPtype" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "P1" *)
(* enum_value_10 = "P2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] dec31_dec_sub18_SV_Ptype;
reg [1:0] dec31_dec_sub18_SV_Ptype;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [7:0] dec31_dec_sub18_asmcode;
reg [7:0] dec31_dec_sub18_asmcode;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub18_br;
reg dec31_dec_sub18_br;
(* enum_base_type = "CRInSel" *)
(* enum_value_101 = "BC" *)
(* enum_value_110 = "WHOLE_REG" *)
(* enum_value_111 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub18_cr_in;
reg [2:0] dec31_dec_sub18_cr_in;
(* enum_base_type = "CROutSel" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub18_cr_out;
reg [2:0] dec31_dec_sub18_cr_out;
(* enum_base_type = "CryIn" *)
(* enum_value_00 = "ZERO" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "CA" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] dec31_dec_sub18_cry_in;
reg [1:0] dec31_dec_sub18_cry_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub18_cry_out;
reg dec31_dec_sub18_cry_out;
(* enum_base_type = "Form" *)
(* enum_value_11011 = "Z22" *)
(* enum_value_11100 = "Z23" *)
(* enum_value_11101 = "SVL" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [4:0] dec31_dec_sub18_form;
reg [4:0] dec31_dec_sub18_form;
(* enum_base_type = "Function" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [14:0] dec31_dec_sub18_function_unit;
reg [14:0] dec31_dec_sub18_function_unit;
(* enum_base_type = "In1Sel" *)
(* enum_value_100 = "RS" *)
(* enum_value_101 = "FRA" *)
(* enum_value_110 = "FRS" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub18_in1_sel;
reg [2:0] dec31_dec_sub18_in1_sel;
(* enum_base_type = "In2Sel" *)
(* enum_value_1100 = "SPR" *)
(* enum_value_1101 = "RS" *)
(* enum_value_1110 = "FRB" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [3:0] dec31_dec_sub18_in2_sel;
reg [3:0] dec31_dec_sub18_in2_sel;
(* enum_base_type = "In3Sel" *)
(* enum_value_010 = "RB" *)
(* enum_value_011 = "FRS" *)
(* enum_value_100 = "FRC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub18_in3_sel;
reg [2:0] dec31_dec_sub18_in3_sel;
(* enum_base_type = "MicrOp" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [6:0] dec31_dec_sub18_internal_op;
reg [6:0] dec31_dec_sub18_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub18_inv_a;
reg dec31_dec_sub18_inv_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub18_inv_out;
reg dec31_dec_sub18_inv_out;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub18_is_32b;
reg dec31_dec_sub18_is_32b;
(* enum_base_type = "LdstLen" *)
(* enum_value_0010 = "is2B" *)
(* enum_value_0100 = "is4B" *)
(* enum_value_1000 = "is8B" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [3:0] dec31_dec_sub18_ldst_len;
reg [3:0] dec31_dec_sub18_ldst_len;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub18_lk;
reg dec31_dec_sub18_lk;
(* enum_base_type = "OutSel" *)
(* enum_value_011 = "SPR" *)
(* enum_value_100 = "RT_OR_ZERO" *)
(* enum_value_101 = "FRT" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub18_out_sel;
reg [2:0] dec31_dec_sub18_out_sel;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] dec31_dec_sub18_rc_sel;
reg [1:0] dec31_dec_sub18_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub18_rsrv;
reg dec31_dec_sub18_rsrv;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub18_sgl_pipe;
reg dec31_dec_sub18_sgl_pipe;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub18_sgn;
reg dec31_dec_sub18_sgn;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub18_sgn_ext;
reg dec31_dec_sub18_sgn_ext;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub18_sv_cr_in;
reg [2:0] dec31_dec_sub18_sv_cr_in;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub18_sv_cr_out;
reg [2:0] dec31_dec_sub18_sv_cr_out;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub18_sv_in1;
reg [2:0] dec31_dec_sub18_sv_in1;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub18_sv_in2;
reg [2:0] dec31_dec_sub18_sv_in2;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub18_sv_in3;
reg [2:0] dec31_dec_sub18_sv_in3;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub18_sv_out;
reg [2:0] dec31_dec_sub18_sv_out;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub18_sv_out2;
reg [2:0] dec31_dec_sub18_sv_out2;
(* enum_base_type = "LDSTMode" *)
(* enum_value_01 = "update" *)
(* enum_value_10 = "cix" *)
(* enum_value_11 = "cx" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] dec31_dec_sub18_upd;
reg [1:0] dec31_dec_sub18_upd;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
input [31:0] opcode_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
wire [4:0] opcode_switch;
always @* begin
if (\initial ) begin end
dec31_dec_sub18_function_unit = 15'h0000;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub18_function_unit = 15'h0080;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub18_function_unit = 15'h0080;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub18_function_unit = 15'h0800;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_dec_sub18_function_unit = 15'h0800;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub18_function_unit = 15'h0800;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub18_cr_in = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub18_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub18_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub18_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_dec_sub18_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub18_cr_in = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub18_cr_out = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub18_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub18_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub18_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_dec_sub18_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub18_cr_out = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub18_sv_in1 = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub18_sv_in1 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub18_sv_in1 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub18_sv_in1 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_dec_sub18_sv_in1 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub18_sv_in1 = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub18_sv_in2 = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub18_sv_in2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub18_sv_in2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub18_sv_in2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_dec_sub18_sv_in2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub18_sv_in2 = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub18_sv_in3 = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub18_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub18_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub18_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_dec_sub18_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub18_sv_in3 = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub18_sv_out = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub18_sv_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub18_sv_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub18_sv_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_dec_sub18_sv_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub18_sv_out = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub18_sv_out2 = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub18_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub18_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub18_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_dec_sub18_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub18_sv_out2 = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub18_sv_cr_in = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub18_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub18_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub18_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_dec_sub18_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub18_sv_cr_in = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub18_sv_cr_out = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub18_sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub18_sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub18_sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_dec_sub18_sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub18_sv_cr_out = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub18_ldst_len = 4'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub18_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub18_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub18_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_dec_sub18_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub18_ldst_len = 4'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub18_internal_op = 7'h00;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub18_internal_op = 7'h48;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub18_internal_op = 7'h4a;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub18_internal_op = 7'h4b;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_dec_sub18_internal_op = 7'h4b;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub18_internal_op = 7'h4b;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub18_upd = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub18_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub18_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub18_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_dec_sub18_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub18_upd = 2'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub18_rc_sel = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub18_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub18_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub18_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_dec_sub18_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub18_rc_sel = 2'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub18_cry_in = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub18_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub18_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub18_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_dec_sub18_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub18_cry_in = 2'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub18_asmcode = 8'h00;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub18_asmcode = 8'h98;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub18_asmcode = 8'h97;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub18_asmcode = 8'hbe;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_dec_sub18_asmcode = 8'hf6;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub18_asmcode = 8'hf7;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub18_inv_a = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub18_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub18_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub18_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_dec_sub18_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub18_inv_a = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub18_inv_out = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub18_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub18_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub18_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_dec_sub18_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub18_inv_out = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub18_cry_out = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub18_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub18_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub18_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_dec_sub18_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub18_cry_out = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub18_br = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub18_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub18_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub18_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_dec_sub18_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub18_br = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub18_sgn_ext = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub18_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub18_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub18_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_dec_sub18_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub18_sgn_ext = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub18_rsrv = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub18_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub18_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub18_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_dec_sub18_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub18_rsrv = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub18_form = 5'h00;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub18_form = 5'h08;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub18_form = 5'h08;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub18_form = 5'h08;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_dec_sub18_form = 5'h08;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub18_form = 5'h08;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub18_is_32b = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub18_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub18_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub18_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_dec_sub18_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub18_is_32b = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub18_sgn = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub18_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub18_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub18_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_dec_sub18_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub18_sgn = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub18_lk = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub18_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub18_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub18_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_dec_sub18_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub18_lk = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub18_sgl_pipe = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub18_sgl_pipe = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub18_sgl_pipe = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub18_sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_dec_sub18_sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub18_sgl_pipe = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub18_SV_Etype = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub18_SV_Etype = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub18_SV_Etype = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub18_SV_Etype = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_dec_sub18_SV_Etype = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub18_SV_Etype = 2'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub18_SV_Ptype = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub18_SV_Ptype = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub18_SV_Ptype = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub18_SV_Ptype = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_dec_sub18_SV_Ptype = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub18_SV_Ptype = 2'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub18_in1_sel = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub18_in1_sel = 3'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub18_in1_sel = 3'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub18_in1_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_dec_sub18_in1_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub18_in1_sel = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub18_in2_sel = 4'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub18_in2_sel = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub18_in2_sel = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub18_in2_sel = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_dec_sub18_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub18_in2_sel = 4'h1;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub18_in3_sel = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub18_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub18_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub18_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_dec_sub18_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub18_in3_sel = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub18_out_sel = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub18_out_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub18_out_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub18_out_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_dec_sub18_out_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub18_out_sel = 3'h0;
endcase
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "EXTRA2" *)
(* enum_value_10 = "EXTRA3" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] dec31_dec_sub19_SV_Etype;
reg [1:0] dec31_dec_sub19_SV_Etype;
(* enum_base_type = "SVPtype" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "P1" *)
(* enum_value_10 = "P2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] dec31_dec_sub19_SV_Ptype;
reg [1:0] dec31_dec_sub19_SV_Ptype;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [7:0] dec31_dec_sub19_asmcode;
reg [7:0] dec31_dec_sub19_asmcode;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub19_br;
reg dec31_dec_sub19_br;
(* enum_base_type = "CRInSel" *)
(* enum_value_101 = "BC" *)
(* enum_value_110 = "WHOLE_REG" *)
(* enum_value_111 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub19_cr_in;
reg [2:0] dec31_dec_sub19_cr_in;
(* enum_base_type = "CROutSel" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub19_cr_out;
reg [2:0] dec31_dec_sub19_cr_out;
(* enum_base_type = "CryIn" *)
(* enum_value_00 = "ZERO" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "CA" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] dec31_dec_sub19_cry_in;
reg [1:0] dec31_dec_sub19_cry_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub19_cry_out;
reg dec31_dec_sub19_cry_out;
(* enum_base_type = "Form" *)
(* enum_value_11011 = "Z22" *)
(* enum_value_11100 = "Z23" *)
(* enum_value_11101 = "SVL" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [4:0] dec31_dec_sub19_form;
reg [4:0] dec31_dec_sub19_form;
(* enum_base_type = "Function" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [14:0] dec31_dec_sub19_function_unit;
reg [14:0] dec31_dec_sub19_function_unit;
(* enum_base_type = "In1Sel" *)
(* enum_value_100 = "RS" *)
(* enum_value_101 = "FRA" *)
(* enum_value_110 = "FRS" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub19_in1_sel;
reg [2:0] dec31_dec_sub19_in1_sel;
(* enum_base_type = "In2Sel" *)
(* enum_value_1100 = "SPR" *)
(* enum_value_1101 = "RS" *)
(* enum_value_1110 = "FRB" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [3:0] dec31_dec_sub19_in2_sel;
reg [3:0] dec31_dec_sub19_in2_sel;
(* enum_base_type = "In3Sel" *)
(* enum_value_010 = "RB" *)
(* enum_value_011 = "FRS" *)
(* enum_value_100 = "FRC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub19_in3_sel;
reg [2:0] dec31_dec_sub19_in3_sel;
(* enum_base_type = "MicrOp" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [6:0] dec31_dec_sub19_internal_op;
reg [6:0] dec31_dec_sub19_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub19_inv_a;
reg dec31_dec_sub19_inv_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub19_inv_out;
reg dec31_dec_sub19_inv_out;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub19_is_32b;
reg dec31_dec_sub19_is_32b;
(* enum_base_type = "LdstLen" *)
(* enum_value_0010 = "is2B" *)
(* enum_value_0100 = "is4B" *)
(* enum_value_1000 = "is8B" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [3:0] dec31_dec_sub19_ldst_len;
reg [3:0] dec31_dec_sub19_ldst_len;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub19_lk;
reg dec31_dec_sub19_lk;
(* enum_base_type = "OutSel" *)
(* enum_value_011 = "SPR" *)
(* enum_value_100 = "RT_OR_ZERO" *)
(* enum_value_101 = "FRT" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub19_out_sel;
reg [2:0] dec31_dec_sub19_out_sel;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] dec31_dec_sub19_rc_sel;
reg [1:0] dec31_dec_sub19_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub19_rsrv;
reg dec31_dec_sub19_rsrv;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub19_sgl_pipe;
reg dec31_dec_sub19_sgl_pipe;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub19_sgn;
reg dec31_dec_sub19_sgn;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub19_sgn_ext;
reg dec31_dec_sub19_sgn_ext;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub19_sv_cr_in;
reg [2:0] dec31_dec_sub19_sv_cr_in;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub19_sv_cr_out;
reg [2:0] dec31_dec_sub19_sv_cr_out;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub19_sv_in1;
reg [2:0] dec31_dec_sub19_sv_in1;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub19_sv_in2;
reg [2:0] dec31_dec_sub19_sv_in2;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub19_sv_in3;
reg [2:0] dec31_dec_sub19_sv_in3;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub19_sv_out;
reg [2:0] dec31_dec_sub19_sv_out;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub19_sv_out2;
reg [2:0] dec31_dec_sub19_sv_out2;
(* enum_base_type = "LDSTMode" *)
(* enum_value_01 = "update" *)
(* enum_value_10 = "cix" *)
(* enum_value_11 = "cx" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] dec31_dec_sub19_upd;
reg [1:0] dec31_dec_sub19_upd;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
input [31:0] opcode_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
wire [4:0] opcode_switch;
always @* begin
if (\initial ) begin end
dec31_dec_sub19_function_unit = 15'h0000;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub19_function_unit = 15'h0040;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub19_function_unit = 15'h0080;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_dec_sub19_function_unit = 15'h0400;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub19_function_unit = 15'h0400;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub19_cr_in = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub19_cr_in = 3'h6;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub19_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_dec_sub19_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub19_cr_in = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub19_cr_out = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub19_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub19_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_dec_sub19_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub19_cr_out = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub19_sv_in1 = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub19_sv_in1 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub19_sv_in1 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_dec_sub19_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub19_sv_in1 = 3'h2;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub19_sv_in2 = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub19_sv_in2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub19_sv_in2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_dec_sub19_sv_in2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub19_sv_in2 = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub19_sv_in3 = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub19_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub19_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_dec_sub19_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub19_sv_in3 = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub19_sv_out = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub19_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub19_sv_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_dec_sub19_sv_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub19_sv_out = 3'h1;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub19_sv_out2 = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub19_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub19_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_dec_sub19_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub19_sv_out2 = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub19_sv_cr_in = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub19_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub19_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_dec_sub19_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub19_sv_cr_in = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub19_sv_cr_out = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub19_sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub19_sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_dec_sub19_sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub19_sv_cr_out = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub19_ldst_len = 4'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub19_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub19_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_dec_sub19_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub19_ldst_len = 4'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub19_internal_op = 7'h00;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub19_internal_op = 7'h2d;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub19_internal_op = 7'h47;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_dec_sub19_internal_op = 7'h2e;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub19_internal_op = 7'h31;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub19_upd = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub19_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub19_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_dec_sub19_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub19_upd = 2'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub19_rc_sel = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub19_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub19_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_dec_sub19_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub19_rc_sel = 2'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub19_cry_in = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub19_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub19_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_dec_sub19_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub19_cry_in = 2'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub19_asmcode = 8'h00;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub19_asmcode = 8'h8f;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub19_asmcode = 8'h90;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_dec_sub19_asmcode = 8'h91;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub19_asmcode = 8'h99;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub19_inv_a = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub19_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub19_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_dec_sub19_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub19_inv_a = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub19_inv_out = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub19_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub19_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_dec_sub19_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub19_inv_out = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub19_cry_out = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub19_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub19_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_dec_sub19_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub19_cry_out = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub19_br = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub19_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub19_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_dec_sub19_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub19_br = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub19_sgn_ext = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub19_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub19_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_dec_sub19_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub19_sgn_ext = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub19_rsrv = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub19_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub19_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_dec_sub19_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub19_rsrv = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub19_form = 5'h00;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub19_form = 5'h0a;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub19_form = 5'h08;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_dec_sub19_form = 5'h0a;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub19_form = 5'h0a;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub19_is_32b = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub19_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub19_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_dec_sub19_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub19_is_32b = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub19_sgn = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub19_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub19_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_dec_sub19_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub19_sgn = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub19_lk = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub19_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub19_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_dec_sub19_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub19_lk = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub19_sgl_pipe = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub19_sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub19_sgl_pipe = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_dec_sub19_sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub19_sgl_pipe = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub19_SV_Etype = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub19_SV_Etype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub19_SV_Etype = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_dec_sub19_SV_Etype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub19_SV_Etype = 2'h2;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub19_SV_Ptype = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub19_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub19_SV_Ptype = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_dec_sub19_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub19_SV_Ptype = 2'h2;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub19_in1_sel = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub19_in1_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub19_in1_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_dec_sub19_in1_sel = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub19_in1_sel = 3'h4;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub19_in2_sel = 4'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub19_in2_sel = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub19_in2_sel = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_dec_sub19_in2_sel = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub19_in2_sel = 4'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub19_in3_sel = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub19_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub19_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_dec_sub19_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub19_in3_sel = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub19_out_sel = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub19_out_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub19_out_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_dec_sub19_out_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub19_out_sel = 3'h3;
endcase
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "EXTRA2" *)
(* enum_value_10 = "EXTRA3" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] dec31_dec_sub20_SV_Etype;
reg [1:0] dec31_dec_sub20_SV_Etype;
(* enum_base_type = "SVPtype" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "P1" *)
(* enum_value_10 = "P2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] dec31_dec_sub20_SV_Ptype;
reg [1:0] dec31_dec_sub20_SV_Ptype;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [7:0] dec31_dec_sub20_asmcode;
reg [7:0] dec31_dec_sub20_asmcode;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub20_br;
reg dec31_dec_sub20_br;
(* enum_base_type = "CRInSel" *)
(* enum_value_101 = "BC" *)
(* enum_value_110 = "WHOLE_REG" *)
(* enum_value_111 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub20_cr_in;
reg [2:0] dec31_dec_sub20_cr_in;
(* enum_base_type = "CROutSel" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub20_cr_out;
reg [2:0] dec31_dec_sub20_cr_out;
(* enum_base_type = "CryIn" *)
(* enum_value_00 = "ZERO" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "CA" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] dec31_dec_sub20_cry_in;
reg [1:0] dec31_dec_sub20_cry_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub20_cry_out;
reg dec31_dec_sub20_cry_out;
(* enum_base_type = "Form" *)
(* enum_value_11011 = "Z22" *)
(* enum_value_11100 = "Z23" *)
(* enum_value_11101 = "SVL" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [4:0] dec31_dec_sub20_form;
reg [4:0] dec31_dec_sub20_form;
(* enum_base_type = "Function" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [14:0] dec31_dec_sub20_function_unit;
reg [14:0] dec31_dec_sub20_function_unit;
(* enum_base_type = "In1Sel" *)
(* enum_value_100 = "RS" *)
(* enum_value_101 = "FRA" *)
(* enum_value_110 = "FRS" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub20_in1_sel;
reg [2:0] dec31_dec_sub20_in1_sel;
(* enum_base_type = "In2Sel" *)
(* enum_value_1100 = "SPR" *)
(* enum_value_1101 = "RS" *)
(* enum_value_1110 = "FRB" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [3:0] dec31_dec_sub20_in2_sel;
reg [3:0] dec31_dec_sub20_in2_sel;
(* enum_base_type = "In3Sel" *)
(* enum_value_010 = "RB" *)
(* enum_value_011 = "FRS" *)
(* enum_value_100 = "FRC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub20_in3_sel;
reg [2:0] dec31_dec_sub20_in3_sel;
(* enum_base_type = "MicrOp" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [6:0] dec31_dec_sub20_internal_op;
reg [6:0] dec31_dec_sub20_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub20_inv_a;
reg dec31_dec_sub20_inv_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub20_inv_out;
reg dec31_dec_sub20_inv_out;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub20_is_32b;
reg dec31_dec_sub20_is_32b;
(* enum_base_type = "LdstLen" *)
(* enum_value_0010 = "is2B" *)
(* enum_value_0100 = "is4B" *)
(* enum_value_1000 = "is8B" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [3:0] dec31_dec_sub20_ldst_len;
reg [3:0] dec31_dec_sub20_ldst_len;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub20_lk;
reg dec31_dec_sub20_lk;
(* enum_base_type = "OutSel" *)
(* enum_value_011 = "SPR" *)
(* enum_value_100 = "RT_OR_ZERO" *)
(* enum_value_101 = "FRT" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub20_out_sel;
reg [2:0] dec31_dec_sub20_out_sel;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] dec31_dec_sub20_rc_sel;
reg [1:0] dec31_dec_sub20_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub20_rsrv;
reg dec31_dec_sub20_rsrv;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub20_sgl_pipe;
reg dec31_dec_sub20_sgl_pipe;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub20_sgn;
reg dec31_dec_sub20_sgn;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub20_sgn_ext;
reg dec31_dec_sub20_sgn_ext;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub20_sv_cr_in;
reg [2:0] dec31_dec_sub20_sv_cr_in;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub20_sv_cr_out;
reg [2:0] dec31_dec_sub20_sv_cr_out;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub20_sv_in1;
reg [2:0] dec31_dec_sub20_sv_in1;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub20_sv_in2;
reg [2:0] dec31_dec_sub20_sv_in2;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub20_sv_in3;
reg [2:0] dec31_dec_sub20_sv_in3;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub20_sv_out;
reg [2:0] dec31_dec_sub20_sv_out;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub20_sv_out2;
reg [2:0] dec31_dec_sub20_sv_out2;
(* enum_base_type = "LDSTMode" *)
(* enum_value_01 = "update" *)
(* enum_value_10 = "cix" *)
(* enum_value_11 = "cx" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] dec31_dec_sub20_upd;
reg [1:0] dec31_dec_sub20_upd;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
input [31:0] opcode_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
wire [4:0] opcode_switch;
always @* begin
if (\initial ) begin end
dec31_dec_sub20_function_unit = 15'h0000;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub20_function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub20_function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub20_function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub20_function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub20_function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub20_function_unit = 15'h0004;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub20_cr_in = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub20_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub20_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub20_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub20_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub20_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub20_cr_in = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub20_cr_out = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub20_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub20_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub20_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub20_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub20_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub20_cr_out = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub20_sv_in1 = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub20_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub20_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub20_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub20_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub20_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub20_sv_in1 = 3'h2;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub20_sv_in2 = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub20_sv_in2 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub20_sv_in2 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub20_sv_in2 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub20_sv_in2 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub20_sv_in2 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub20_sv_in2 = 3'h3;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub20_sv_in3 = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub20_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub20_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub20_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub20_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub20_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub20_sv_in3 = 3'h1;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub20_sv_out = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub20_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub20_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub20_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub20_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub20_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub20_sv_out = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub20_sv_out2 = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub20_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub20_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub20_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub20_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub20_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub20_sv_out2 = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub20_sv_cr_in = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub20_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub20_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub20_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub20_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub20_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub20_sv_cr_in = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub20_sv_cr_out = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub20_sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub20_sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub20_sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub20_sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub20_sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub20_sv_cr_out = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub20_ldst_len = 4'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub20_ldst_len = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub20_ldst_len = 4'h8;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub20_ldst_len = 4'h8;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub20_ldst_len = 4'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub20_ldst_len = 4'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub20_ldst_len = 4'h8;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub20_internal_op = 7'h00;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub20_internal_op = 7'h25;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub20_internal_op = 7'h25;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub20_internal_op = 7'h25;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub20_internal_op = 7'h25;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub20_internal_op = 7'h25;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub20_internal_op = 7'h26;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub20_upd = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub20_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub20_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub20_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub20_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub20_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub20_upd = 2'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub20_rc_sel = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub20_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub20_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub20_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub20_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub20_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub20_rc_sel = 2'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub20_cry_in = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub20_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub20_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub20_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub20_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub20_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub20_cry_in = 2'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub20_asmcode = 8'h00;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub20_asmcode = 8'h63;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub20_asmcode = 8'h69;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub20_asmcode = 8'h6a;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub20_asmcode = 8'h79;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub20_asmcode = 8'h83;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub20_asmcode = 8'hce;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub20_inv_a = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub20_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub20_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub20_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub20_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub20_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub20_inv_a = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub20_inv_out = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub20_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub20_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub20_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub20_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub20_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub20_inv_out = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub20_cry_out = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub20_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub20_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub20_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub20_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub20_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub20_cry_out = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub20_br = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub20_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub20_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub20_br = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub20_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub20_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub20_br = 1'h1;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub20_sgn_ext = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub20_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub20_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub20_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub20_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub20_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub20_sgn_ext = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub20_rsrv = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub20_rsrv = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub20_rsrv = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub20_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub20_rsrv = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub20_rsrv = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub20_rsrv = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub20_form = 5'h00;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub20_form = 5'h08;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub20_form = 5'h08;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub20_form = 5'h08;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub20_form = 5'h08;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub20_form = 5'h08;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub20_form = 5'h08;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub20_is_32b = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub20_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub20_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub20_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub20_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub20_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub20_is_32b = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub20_sgn = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub20_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub20_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub20_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub20_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub20_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub20_sgn = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub20_lk = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub20_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub20_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub20_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub20_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub20_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub20_lk = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub20_sgl_pipe = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub20_sgl_pipe = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub20_sgl_pipe = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub20_sgl_pipe = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub20_sgl_pipe = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub20_sgl_pipe = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub20_sgl_pipe = 1'h1;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub20_SV_Etype = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub20_SV_Etype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub20_SV_Etype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub20_SV_Etype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub20_SV_Etype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub20_SV_Etype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub20_SV_Etype = 2'h1;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub20_SV_Ptype = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub20_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub20_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub20_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub20_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub20_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub20_SV_Ptype = 2'h2;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub20_in1_sel = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub20_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub20_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub20_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub20_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub20_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub20_in1_sel = 3'h2;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub20_in2_sel = 4'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub20_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub20_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub20_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub20_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub20_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub20_in2_sel = 4'h1;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub20_in3_sel = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub20_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub20_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub20_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub20_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub20_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub20_in3_sel = 3'h1;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub20_out_sel = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub20_out_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub20_out_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub20_out_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub20_out_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub20_out_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub20_out_sel = 3'h0;
endcase
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "EXTRA2" *)
(* enum_value_10 = "EXTRA3" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] dec31_dec_sub21_SV_Etype;
reg [1:0] dec31_dec_sub21_SV_Etype;
(* enum_base_type = "SVPtype" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "P1" *)
(* enum_value_10 = "P2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] dec31_dec_sub21_SV_Ptype;
reg [1:0] dec31_dec_sub21_SV_Ptype;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [7:0] dec31_dec_sub21_asmcode;
reg [7:0] dec31_dec_sub21_asmcode;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub21_br;
reg dec31_dec_sub21_br;
(* enum_base_type = "CRInSel" *)
(* enum_value_101 = "BC" *)
(* enum_value_110 = "WHOLE_REG" *)
(* enum_value_111 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub21_cr_in;
reg [2:0] dec31_dec_sub21_cr_in;
(* enum_base_type = "CROutSel" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub21_cr_out;
reg [2:0] dec31_dec_sub21_cr_out;
(* enum_base_type = "CryIn" *)
(* enum_value_00 = "ZERO" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "CA" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] dec31_dec_sub21_cry_in;
reg [1:0] dec31_dec_sub21_cry_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub21_cry_out;
reg dec31_dec_sub21_cry_out;
(* enum_base_type = "Form" *)
(* enum_value_11011 = "Z22" *)
(* enum_value_11100 = "Z23" *)
(* enum_value_11101 = "SVL" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [4:0] dec31_dec_sub21_form;
reg [4:0] dec31_dec_sub21_form;
(* enum_base_type = "Function" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [14:0] dec31_dec_sub21_function_unit;
reg [14:0] dec31_dec_sub21_function_unit;
(* enum_base_type = "In1Sel" *)
(* enum_value_100 = "RS" *)
(* enum_value_101 = "FRA" *)
(* enum_value_110 = "FRS" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub21_in1_sel;
reg [2:0] dec31_dec_sub21_in1_sel;
(* enum_base_type = "In2Sel" *)
(* enum_value_1100 = "SPR" *)
(* enum_value_1101 = "RS" *)
(* enum_value_1110 = "FRB" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [3:0] dec31_dec_sub21_in2_sel;
reg [3:0] dec31_dec_sub21_in2_sel;
(* enum_base_type = "In3Sel" *)
(* enum_value_010 = "RB" *)
(* enum_value_011 = "FRS" *)
(* enum_value_100 = "FRC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub21_in3_sel;
reg [2:0] dec31_dec_sub21_in3_sel;
(* enum_base_type = "MicrOp" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [6:0] dec31_dec_sub21_internal_op;
reg [6:0] dec31_dec_sub21_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub21_inv_a;
reg dec31_dec_sub21_inv_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub21_inv_out;
reg dec31_dec_sub21_inv_out;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub21_is_32b;
reg dec31_dec_sub21_is_32b;
(* enum_base_type = "LdstLen" *)
(* enum_value_0010 = "is2B" *)
(* enum_value_0100 = "is4B" *)
(* enum_value_1000 = "is8B" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [3:0] dec31_dec_sub21_ldst_len;
reg [3:0] dec31_dec_sub21_ldst_len;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub21_lk;
reg dec31_dec_sub21_lk;
(* enum_base_type = "OutSel" *)
(* enum_value_011 = "SPR" *)
(* enum_value_100 = "RT_OR_ZERO" *)
(* enum_value_101 = "FRT" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub21_out_sel;
reg [2:0] dec31_dec_sub21_out_sel;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] dec31_dec_sub21_rc_sel;
reg [1:0] dec31_dec_sub21_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub21_rsrv;
reg dec31_dec_sub21_rsrv;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub21_sgl_pipe;
reg dec31_dec_sub21_sgl_pipe;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub21_sgn;
reg dec31_dec_sub21_sgn;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub21_sgn_ext;
reg dec31_dec_sub21_sgn_ext;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub21_sv_cr_in;
reg [2:0] dec31_dec_sub21_sv_cr_in;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub21_sv_cr_out;
reg [2:0] dec31_dec_sub21_sv_cr_out;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub21_sv_in1;
reg [2:0] dec31_dec_sub21_sv_in1;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub21_sv_in2;
reg [2:0] dec31_dec_sub21_sv_in2;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub21_sv_in3;
reg [2:0] dec31_dec_sub21_sv_in3;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub21_sv_out;
reg [2:0] dec31_dec_sub21_sv_out;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub21_sv_out2;
reg [2:0] dec31_dec_sub21_sv_out2;
(* enum_base_type = "LDSTMode" *)
(* enum_value_01 = "update" *)
(* enum_value_10 = "cix" *)
(* enum_value_11 = "cx" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] dec31_dec_sub21_upd;
reg [1:0] dec31_dec_sub21_upd;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
input [31:0] opcode_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
wire [4:0] opcode_switch;
always @* begin
if (\initial ) begin end
dec31_dec_sub21_function_unit = 15'h0000;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
dec31_dec_sub21_function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub21_function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub21_function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub21_function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub21_function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_dec_sub21_function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_dec_sub21_function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub21_function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub21_function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub21_function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub21_function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub21_function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub21_function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub21_function_unit = 15'h0004;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub21_cr_in = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
dec31_dec_sub21_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub21_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub21_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub21_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub21_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_dec_sub21_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_dec_sub21_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub21_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub21_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub21_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub21_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub21_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub21_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub21_cr_in = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub21_cr_out = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
dec31_dec_sub21_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub21_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub21_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub21_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub21_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_dec_sub21_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_dec_sub21_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub21_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub21_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub21_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub21_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub21_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub21_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub21_cr_out = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub21_sv_in1 = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
dec31_dec_sub21_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub21_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub21_sv_in1 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub21_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub21_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_dec_sub21_sv_in1 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_dec_sub21_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub21_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub21_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub21_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub21_sv_in1 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub21_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub21_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub21_sv_in1 = 3'h2;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub21_sv_in2 = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
dec31_dec_sub21_sv_in2 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub21_sv_in2 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub21_sv_in2 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub21_sv_in2 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub21_sv_in2 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_dec_sub21_sv_in2 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_dec_sub21_sv_in2 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub21_sv_in2 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub21_sv_in2 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub21_sv_in2 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub21_sv_in2 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub21_sv_in2 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub21_sv_in2 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub21_sv_in2 = 3'h3;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub21_sv_in3 = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
dec31_dec_sub21_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub21_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub21_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub21_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub21_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_dec_sub21_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_dec_sub21_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub21_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub21_sv_in3 = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub21_sv_in3 = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub21_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub21_sv_in3 = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub21_sv_in3 = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub21_sv_in3 = 3'h1;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub21_sv_out = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
dec31_dec_sub21_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub21_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub21_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub21_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub21_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_dec_sub21_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_dec_sub21_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub21_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub21_sv_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub21_sv_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub21_sv_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub21_sv_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub21_sv_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub21_sv_out = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub21_sv_out2 = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
dec31_dec_sub21_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub21_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub21_sv_out2 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub21_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub21_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_dec_sub21_sv_out2 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_dec_sub21_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub21_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub21_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub21_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub21_sv_out2 = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub21_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub21_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub21_sv_out2 = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub21_sv_cr_in = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
dec31_dec_sub21_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub21_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub21_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub21_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub21_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_dec_sub21_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_dec_sub21_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub21_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub21_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub21_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub21_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub21_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub21_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub21_sv_cr_in = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub21_sv_cr_out = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
dec31_dec_sub21_sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub21_sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub21_sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub21_sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub21_sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_dec_sub21_sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_dec_sub21_sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub21_sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub21_sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub21_sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub21_sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub21_sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub21_sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub21_sv_cr_out = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub21_ldst_len = 4'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
dec31_dec_sub21_ldst_len = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub21_ldst_len = 4'h8;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub21_ldst_len = 4'h8;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub21_ldst_len = 4'h8;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub21_ldst_len = 4'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_dec_sub21_ldst_len = 4'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_dec_sub21_ldst_len = 4'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub21_ldst_len = 4'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub21_ldst_len = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub21_ldst_len = 4'h8;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub21_ldst_len = 4'h8;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub21_ldst_len = 4'h8;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub21_ldst_len = 4'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub21_ldst_len = 4'h4;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub21_internal_op = 7'h00;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
dec31_dec_sub21_internal_op = 7'h25;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub21_internal_op = 7'h25;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub21_internal_op = 7'h25;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub21_internal_op = 7'h25;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub21_internal_op = 7'h25;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_dec_sub21_internal_op = 7'h25;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_dec_sub21_internal_op = 7'h25;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub21_internal_op = 7'h25;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub21_internal_op = 7'h26;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub21_internal_op = 7'h26;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub21_internal_op = 7'h26;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub21_internal_op = 7'h26;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub21_internal_op = 7'h26;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub21_internal_op = 7'h26;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub21_upd = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
dec31_dec_sub21_upd = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub21_upd = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub21_upd = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub21_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub21_upd = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_dec_sub21_upd = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_dec_sub21_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub21_upd = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub21_upd = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub21_upd = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub21_upd = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub21_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub21_upd = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub21_upd = 2'h2;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub21_rc_sel = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
dec31_dec_sub21_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub21_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub21_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub21_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub21_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_dec_sub21_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_dec_sub21_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub21_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub21_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub21_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub21_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub21_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub21_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub21_rc_sel = 2'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub21_cry_in = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
dec31_dec_sub21_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub21_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub21_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub21_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub21_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_dec_sub21_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_dec_sub21_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub21_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub21_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub21_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub21_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub21_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub21_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub21_cry_in = 2'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub21_inv_a = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
dec31_dec_sub21_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub21_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub21_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub21_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub21_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_dec_sub21_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_dec_sub21_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub21_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub21_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub21_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub21_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub21_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub21_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub21_inv_a = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub21_inv_out = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
dec31_dec_sub21_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub21_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub21_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub21_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub21_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_dec_sub21_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_dec_sub21_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub21_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub21_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub21_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub21_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub21_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub21_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub21_inv_out = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub21_cry_out = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
dec31_dec_sub21_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub21_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub21_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub21_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub21_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_dec_sub21_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_dec_sub21_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub21_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub21_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub21_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub21_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub21_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub21_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub21_cry_out = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub21_br = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
dec31_dec_sub21_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub21_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub21_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub21_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub21_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_dec_sub21_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_dec_sub21_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub21_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub21_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub21_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub21_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub21_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub21_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub21_br = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub21_sgn_ext = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
dec31_dec_sub21_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub21_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub21_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub21_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub21_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_dec_sub21_sgn_ext = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_dec_sub21_sgn_ext = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub21_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub21_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub21_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub21_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub21_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub21_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub21_sgn_ext = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub21_rsrv = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
dec31_dec_sub21_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub21_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub21_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub21_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub21_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_dec_sub21_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_dec_sub21_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub21_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub21_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub21_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub21_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub21_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub21_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub21_rsrv = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub21_is_32b = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
dec31_dec_sub21_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub21_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub21_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub21_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub21_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_dec_sub21_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_dec_sub21_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub21_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub21_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub21_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub21_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub21_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub21_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub21_is_32b = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub21_form = 5'h00;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
dec31_dec_sub21_form = 5'h08;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub21_form = 5'h08;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub21_form = 5'h08;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub21_form = 5'h08;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub21_form = 5'h08;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_dec_sub21_form = 5'h08;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_dec_sub21_form = 5'h08;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub21_form = 5'h08;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub21_form = 5'h08;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub21_form = 5'h08;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub21_form = 5'h08;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub21_form = 5'h08;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub21_form = 5'h08;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub21_form = 5'h08;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub21_sgn = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
dec31_dec_sub21_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub21_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub21_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub21_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub21_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_dec_sub21_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_dec_sub21_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub21_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub21_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub21_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub21_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub21_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub21_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub21_sgn = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub21_lk = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
dec31_dec_sub21_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub21_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub21_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub21_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub21_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_dec_sub21_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_dec_sub21_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub21_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub21_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub21_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub21_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub21_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub21_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub21_lk = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub21_sgl_pipe = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
dec31_dec_sub21_sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub21_sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub21_sgl_pipe = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub21_sgl_pipe = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub21_sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_dec_sub21_sgl_pipe = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_dec_sub21_sgl_pipe = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub21_sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub21_sgl_pipe = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub21_sgl_pipe = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub21_sgl_pipe = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub21_sgl_pipe = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub21_sgl_pipe = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub21_sgl_pipe = 1'h1;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub21_asmcode = 8'h00;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
/* empty */;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
/* empty */;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub21_asmcode = 8'h6c;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub21_asmcode = 8'h6d;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
/* empty */;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_dec_sub21_asmcode = 8'h84;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_dec_sub21_asmcode = 8'h85;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub21_asmcode = 8'h88;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub21_asmcode = 8'hc8;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
/* empty */;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub21_asmcode = 8'hd1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub21_asmcode = 8'hd2;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub21_SV_Etype = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
dec31_dec_sub21_SV_Etype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub21_SV_Etype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub21_SV_Etype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub21_SV_Etype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub21_SV_Etype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_dec_sub21_SV_Etype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_dec_sub21_SV_Etype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub21_SV_Etype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub21_SV_Etype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub21_SV_Etype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub21_SV_Etype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub21_SV_Etype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub21_SV_Etype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub21_SV_Etype = 2'h1;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub21_SV_Ptype = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
dec31_dec_sub21_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub21_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub21_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub21_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub21_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_dec_sub21_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_dec_sub21_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub21_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub21_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub21_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub21_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub21_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub21_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub21_SV_Ptype = 2'h2;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub21_in1_sel = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
dec31_dec_sub21_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub21_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub21_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub21_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub21_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_dec_sub21_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_dec_sub21_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub21_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub21_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub21_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub21_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub21_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub21_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub21_in1_sel = 3'h2;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub21_in2_sel = 4'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
dec31_dec_sub21_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub21_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub21_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub21_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub21_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_dec_sub21_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_dec_sub21_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub21_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub21_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub21_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub21_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub21_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub21_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub21_in2_sel = 4'h1;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub21_in3_sel = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
dec31_dec_sub21_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub21_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub21_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub21_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub21_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_dec_sub21_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_dec_sub21_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub21_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub21_in3_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub21_in3_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub21_in3_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub21_in3_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub21_in3_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub21_in3_sel = 3'h1;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub21_out_sel = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
dec31_dec_sub21_out_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub21_out_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub21_out_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub21_out_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub21_out_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_dec_sub21_out_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_dec_sub21_out_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub21_out_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub21_out_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub21_out_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub21_out_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub21_out_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub21_out_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub21_out_sel = 3'h0;
endcase
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "EXTRA2" *)
(* enum_value_10 = "EXTRA3" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] dec31_dec_sub22_SV_Etype;
reg [1:0] dec31_dec_sub22_SV_Etype;
(* enum_base_type = "SVPtype" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "P1" *)
(* enum_value_10 = "P2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] dec31_dec_sub22_SV_Ptype;
reg [1:0] dec31_dec_sub22_SV_Ptype;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [7:0] dec31_dec_sub22_asmcode;
reg [7:0] dec31_dec_sub22_asmcode;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub22_br;
reg dec31_dec_sub22_br;
(* enum_base_type = "CRInSel" *)
(* enum_value_101 = "BC" *)
(* enum_value_110 = "WHOLE_REG" *)
(* enum_value_111 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub22_cr_in;
reg [2:0] dec31_dec_sub22_cr_in;
(* enum_base_type = "CROutSel" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub22_cr_out;
reg [2:0] dec31_dec_sub22_cr_out;
(* enum_base_type = "CryIn" *)
(* enum_value_00 = "ZERO" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "CA" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] dec31_dec_sub22_cry_in;
reg [1:0] dec31_dec_sub22_cry_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub22_cry_out;
reg dec31_dec_sub22_cry_out;
(* enum_base_type = "Form" *)
(* enum_value_11011 = "Z22" *)
(* enum_value_11100 = "Z23" *)
(* enum_value_11101 = "SVL" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [4:0] dec31_dec_sub22_form;
reg [4:0] dec31_dec_sub22_form;
(* enum_base_type = "Function" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [14:0] dec31_dec_sub22_function_unit;
reg [14:0] dec31_dec_sub22_function_unit;
(* enum_base_type = "In1Sel" *)
(* enum_value_100 = "RS" *)
(* enum_value_101 = "FRA" *)
(* enum_value_110 = "FRS" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub22_in1_sel;
reg [2:0] dec31_dec_sub22_in1_sel;
(* enum_base_type = "In2Sel" *)
(* enum_value_1100 = "SPR" *)
(* enum_value_1101 = "RS" *)
(* enum_value_1110 = "FRB" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [3:0] dec31_dec_sub22_in2_sel;
reg [3:0] dec31_dec_sub22_in2_sel;
(* enum_base_type = "In3Sel" *)
(* enum_value_010 = "RB" *)
(* enum_value_011 = "FRS" *)
(* enum_value_100 = "FRC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub22_in3_sel;
reg [2:0] dec31_dec_sub22_in3_sel;
(* enum_base_type = "MicrOp" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [6:0] dec31_dec_sub22_internal_op;
reg [6:0] dec31_dec_sub22_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub22_inv_a;
reg dec31_dec_sub22_inv_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub22_inv_out;
reg dec31_dec_sub22_inv_out;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub22_is_32b;
reg dec31_dec_sub22_is_32b;
(* enum_base_type = "LdstLen" *)
(* enum_value_0010 = "is2B" *)
(* enum_value_0100 = "is4B" *)
(* enum_value_1000 = "is8B" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [3:0] dec31_dec_sub22_ldst_len;
reg [3:0] dec31_dec_sub22_ldst_len;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub22_lk;
reg dec31_dec_sub22_lk;
(* enum_base_type = "OutSel" *)
(* enum_value_011 = "SPR" *)
(* enum_value_100 = "RT_OR_ZERO" *)
(* enum_value_101 = "FRT" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub22_out_sel;
reg [2:0] dec31_dec_sub22_out_sel;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] dec31_dec_sub22_rc_sel;
reg [1:0] dec31_dec_sub22_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub22_rsrv;
reg dec31_dec_sub22_rsrv;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub22_sgl_pipe;
reg dec31_dec_sub22_sgl_pipe;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub22_sgn;
reg dec31_dec_sub22_sgn;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub22_sgn_ext;
reg dec31_dec_sub22_sgn_ext;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub22_sv_cr_in;
reg [2:0] dec31_dec_sub22_sv_cr_in;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub22_sv_cr_out;
reg [2:0] dec31_dec_sub22_sv_cr_out;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub22_sv_in1;
reg [2:0] dec31_dec_sub22_sv_in1;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub22_sv_in2;
reg [2:0] dec31_dec_sub22_sv_in2;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub22_sv_in3;
reg [2:0] dec31_dec_sub22_sv_in3;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub22_sv_out;
reg [2:0] dec31_dec_sub22_sv_out;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub22_sv_out2;
reg [2:0] dec31_dec_sub22_sv_out2;
(* enum_base_type = "LDSTMode" *)
(* enum_value_01 = "update" *)
(* enum_value_10 = "cix" *)
(* enum_value_11 = "cx" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] dec31_dec_sub22_upd;
reg [1:0] dec31_dec_sub22_upd;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
input [31:0] opcode_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
wire [4:0] opcode_switch;
always @* begin
if (\initial ) begin end
dec31_dec_sub22_function_unit = 15'h0000;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub22_function_unit = 15'h0002;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub22_function_unit = 15'h0002;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub22_function_unit = 15'h0002;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub22_function_unit = 15'h0002;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub22_function_unit = 15'h0800;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub22_function_unit = 15'h0002;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub22_function_unit = 15'h0002;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub22_function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub22_function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
dec31_dec_sub22_function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub22_function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub22_function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub22_function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub22_function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub22_function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub22_function_unit = 15'h0002;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub22_cr_in = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub22_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub22_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub22_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub22_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub22_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub22_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub22_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub22_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub22_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
dec31_dec_sub22_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub22_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub22_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub22_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub22_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub22_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub22_cr_in = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub22_cr_out = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub22_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub22_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub22_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub22_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub22_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub22_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub22_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub22_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub22_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
dec31_dec_sub22_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub22_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub22_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub22_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub22_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub22_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub22_cr_out = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub22_sv_in1 = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub22_sv_in1 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub22_sv_in1 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub22_sv_in1 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub22_sv_in1 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub22_sv_in1 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub22_sv_in1 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub22_sv_in1 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub22_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub22_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
dec31_dec_sub22_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub22_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub22_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub22_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub22_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub22_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub22_sv_in1 = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub22_sv_in2 = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub22_sv_in2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub22_sv_in2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub22_sv_in2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub22_sv_in2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub22_sv_in2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub22_sv_in2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub22_sv_in2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub22_sv_in2 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub22_sv_in2 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
dec31_dec_sub22_sv_in2 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub22_sv_in2 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub22_sv_in2 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub22_sv_in2 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub22_sv_in2 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub22_sv_in2 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub22_sv_in2 = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub22_sv_in3 = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub22_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub22_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub22_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub22_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub22_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub22_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub22_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub22_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub22_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
dec31_dec_sub22_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub22_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub22_sv_in3 = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub22_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub22_sv_in3 = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub22_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub22_sv_in3 = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub22_sv_out = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub22_sv_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub22_sv_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub22_sv_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub22_sv_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub22_sv_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub22_sv_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub22_sv_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub22_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub22_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
dec31_dec_sub22_sv_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub22_sv_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub22_sv_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub22_sv_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub22_sv_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub22_sv_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub22_sv_out = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub22_sv_out2 = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub22_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub22_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub22_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub22_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub22_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub22_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub22_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub22_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub22_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
dec31_dec_sub22_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub22_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub22_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub22_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub22_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub22_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub22_sv_out2 = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub22_sv_cr_in = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub22_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub22_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub22_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub22_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub22_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub22_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub22_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub22_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub22_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
dec31_dec_sub22_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub22_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub22_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub22_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub22_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub22_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub22_sv_cr_in = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub22_sv_cr_out = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub22_sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub22_sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub22_sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub22_sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub22_sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub22_sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub22_sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub22_sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub22_sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
dec31_dec_sub22_sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub22_sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub22_sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub22_sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub22_sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub22_sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub22_sv_cr_out = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub22_ldst_len = 4'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub22_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub22_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub22_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub22_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub22_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub22_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub22_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub22_ldst_len = 4'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub22_ldst_len = 4'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
dec31_dec_sub22_ldst_len = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub22_ldst_len = 4'h8;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub22_ldst_len = 4'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub22_ldst_len = 4'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub22_ldst_len = 4'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub22_ldst_len = 4'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub22_ldst_len = 4'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub22_internal_op = 7'h00;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub22_internal_op = 7'h01;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub22_internal_op = 7'h01;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub22_internal_op = 7'h01;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub22_internal_op = 7'h01;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub22_internal_op = 7'h1c;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub22_internal_op = 7'h21;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub22_internal_op = 7'h01;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub22_internal_op = 7'h25;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub22_internal_op = 7'h25;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
dec31_dec_sub22_internal_op = 7'h26;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub22_internal_op = 7'h26;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub22_internal_op = 7'h26;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub22_internal_op = 7'h26;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub22_internal_op = 7'h26;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub22_internal_op = 7'h26;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub22_internal_op = 7'h01;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub22_upd = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub22_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub22_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub22_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub22_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub22_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub22_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub22_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub22_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub22_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
dec31_dec_sub22_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub22_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub22_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub22_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub22_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub22_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub22_upd = 2'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub22_rc_sel = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub22_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub22_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub22_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub22_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub22_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub22_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub22_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub22_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub22_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
dec31_dec_sub22_rc_sel = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub22_rc_sel = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub22_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub22_rc_sel = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub22_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub22_rc_sel = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub22_rc_sel = 2'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub22_cry_in = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub22_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub22_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub22_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub22_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub22_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub22_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub22_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub22_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub22_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
dec31_dec_sub22_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub22_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub22_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub22_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub22_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub22_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub22_cry_in = 2'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub22_asmcode = 8'h00;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub22_asmcode = 8'h2e;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub22_asmcode = 8'h2f;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub22_asmcode = 8'h30;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub22_asmcode = 8'h31;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub22_asmcode = 8'h32;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub22_asmcode = 8'h5f;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub22_asmcode = 8'h60;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub22_asmcode = 8'h7d;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub22_asmcode = 8'h86;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
dec31_dec_sub22_asmcode = 8'hc9;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub22_asmcode = 8'hcf;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub22_asmcode = 8'hdd;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub22_asmcode = 8'hde;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub22_asmcode = 8'he3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub22_asmcode = 8'he4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub22_asmcode = 8'hf3;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub22_inv_a = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub22_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub22_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub22_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub22_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub22_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub22_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub22_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub22_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub22_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
dec31_dec_sub22_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub22_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub22_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub22_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub22_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub22_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub22_inv_a = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub22_inv_out = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub22_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub22_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub22_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub22_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub22_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub22_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub22_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub22_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub22_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
dec31_dec_sub22_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub22_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub22_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub22_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub22_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub22_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub22_inv_out = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub22_cry_out = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub22_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub22_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub22_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub22_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub22_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub22_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub22_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub22_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub22_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
dec31_dec_sub22_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub22_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub22_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub22_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub22_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub22_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub22_cry_out = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub22_br = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub22_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub22_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub22_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub22_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub22_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub22_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub22_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub22_br = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub22_br = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
dec31_dec_sub22_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub22_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub22_br = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub22_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub22_br = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub22_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub22_br = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub22_sgn_ext = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub22_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub22_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub22_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub22_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub22_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub22_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub22_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub22_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub22_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
dec31_dec_sub22_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub22_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub22_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub22_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub22_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub22_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub22_sgn_ext = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub22_rsrv = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub22_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub22_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub22_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub22_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub22_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub22_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub22_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub22_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub22_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
dec31_dec_sub22_rsrv = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub22_rsrv = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub22_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub22_rsrv = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub22_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub22_rsrv = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub22_rsrv = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub22_form = 5'h00;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub22_form = 5'h08;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub22_form = 5'h08;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub22_form = 5'h08;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub22_form = 5'h08;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub22_form = 5'h08;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub22_form = 5'h08;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub22_form = 5'h08;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub22_form = 5'h08;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub22_form = 5'h08;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
dec31_dec_sub22_form = 5'h08;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub22_form = 5'h08;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub22_form = 5'h08;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub22_form = 5'h08;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub22_form = 5'h08;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub22_form = 5'h08;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub22_form = 5'h08;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub22_is_32b = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub22_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub22_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub22_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub22_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub22_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub22_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub22_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub22_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub22_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
dec31_dec_sub22_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub22_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub22_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub22_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub22_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub22_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub22_is_32b = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub22_sgn = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub22_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub22_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub22_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub22_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub22_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub22_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub22_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub22_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub22_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
dec31_dec_sub22_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub22_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub22_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub22_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub22_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub22_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub22_sgn = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub22_lk = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub22_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub22_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub22_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub22_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub22_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub22_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub22_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub22_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub22_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
dec31_dec_sub22_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub22_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub22_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub22_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub22_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub22_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub22_lk = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub22_sgl_pipe = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub22_sgl_pipe = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub22_sgl_pipe = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub22_sgl_pipe = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub22_sgl_pipe = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub22_sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub22_sgl_pipe = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub22_sgl_pipe = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub22_sgl_pipe = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub22_sgl_pipe = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
dec31_dec_sub22_sgl_pipe = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub22_sgl_pipe = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub22_sgl_pipe = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub22_sgl_pipe = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub22_sgl_pipe = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub22_sgl_pipe = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub22_sgl_pipe = 1'h1;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub22_SV_Etype = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub22_SV_Etype = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub22_SV_Etype = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub22_SV_Etype = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub22_SV_Etype = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub22_SV_Etype = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub22_SV_Etype = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub22_SV_Etype = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub22_SV_Etype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub22_SV_Etype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
dec31_dec_sub22_SV_Etype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub22_SV_Etype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub22_SV_Etype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub22_SV_Etype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub22_SV_Etype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub22_SV_Etype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub22_SV_Etype = 2'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub22_SV_Ptype = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub22_SV_Ptype = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub22_SV_Ptype = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub22_SV_Ptype = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub22_SV_Ptype = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub22_SV_Ptype = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub22_SV_Ptype = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub22_SV_Ptype = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub22_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub22_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
dec31_dec_sub22_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub22_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub22_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub22_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub22_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub22_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub22_SV_Ptype = 2'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub22_in1_sel = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub22_in1_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub22_in1_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub22_in1_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub22_in1_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub22_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub22_in1_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub22_in1_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub22_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub22_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
dec31_dec_sub22_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub22_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub22_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub22_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub22_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub22_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub22_in1_sel = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub22_in2_sel = 4'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub22_in2_sel = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub22_in2_sel = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub22_in2_sel = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub22_in2_sel = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub22_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub22_in2_sel = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub22_in2_sel = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub22_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub22_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
dec31_dec_sub22_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub22_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub22_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub22_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub22_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub22_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub22_in2_sel = 4'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub22_in3_sel = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub22_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub22_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub22_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub22_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub22_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub22_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub22_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub22_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub22_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
dec31_dec_sub22_in3_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub22_in3_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub22_in3_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub22_in3_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub22_in3_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub22_in3_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub22_in3_sel = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub22_out_sel = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub22_out_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub22_out_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub22_out_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub22_out_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub22_out_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub22_out_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub22_out_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub22_out_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub22_out_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
dec31_dec_sub22_out_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub22_out_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub22_out_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub22_out_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub22_out_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub22_out_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub22_out_sel = 3'h0;
endcase
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "EXTRA2" *)
(* enum_value_10 = "EXTRA3" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] dec31_dec_sub23_SV_Etype;
reg [1:0] dec31_dec_sub23_SV_Etype;
(* enum_base_type = "SVPtype" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "P1" *)
(* enum_value_10 = "P2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] dec31_dec_sub23_SV_Ptype;
reg [1:0] dec31_dec_sub23_SV_Ptype;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [7:0] dec31_dec_sub23_asmcode;
reg [7:0] dec31_dec_sub23_asmcode;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub23_br;
reg dec31_dec_sub23_br;
(* enum_base_type = "CRInSel" *)
(* enum_value_101 = "BC" *)
(* enum_value_110 = "WHOLE_REG" *)
(* enum_value_111 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub23_cr_in;
reg [2:0] dec31_dec_sub23_cr_in;
(* enum_base_type = "CROutSel" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub23_cr_out;
reg [2:0] dec31_dec_sub23_cr_out;
(* enum_base_type = "CryIn" *)
(* enum_value_00 = "ZERO" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "CA" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] dec31_dec_sub23_cry_in;
reg [1:0] dec31_dec_sub23_cry_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub23_cry_out;
reg dec31_dec_sub23_cry_out;
(* enum_base_type = "Form" *)
(* enum_value_11011 = "Z22" *)
(* enum_value_11100 = "Z23" *)
(* enum_value_11101 = "SVL" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [4:0] dec31_dec_sub23_form;
reg [4:0] dec31_dec_sub23_form;
(* enum_base_type = "Function" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [14:0] dec31_dec_sub23_function_unit;
reg [14:0] dec31_dec_sub23_function_unit;
(* enum_base_type = "In1Sel" *)
(* enum_value_100 = "RS" *)
(* enum_value_101 = "FRA" *)
(* enum_value_110 = "FRS" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub23_in1_sel;
reg [2:0] dec31_dec_sub23_in1_sel;
(* enum_base_type = "In2Sel" *)
(* enum_value_1100 = "SPR" *)
(* enum_value_1101 = "RS" *)
(* enum_value_1110 = "FRB" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [3:0] dec31_dec_sub23_in2_sel;
reg [3:0] dec31_dec_sub23_in2_sel;
(* enum_base_type = "In3Sel" *)
(* enum_value_010 = "RB" *)
(* enum_value_011 = "FRS" *)
(* enum_value_100 = "FRC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub23_in3_sel;
reg [2:0] dec31_dec_sub23_in3_sel;
(* enum_base_type = "MicrOp" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [6:0] dec31_dec_sub23_internal_op;
reg [6:0] dec31_dec_sub23_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub23_inv_a;
reg dec31_dec_sub23_inv_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub23_inv_out;
reg dec31_dec_sub23_inv_out;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub23_is_32b;
reg dec31_dec_sub23_is_32b;
(* enum_base_type = "LdstLen" *)
(* enum_value_0010 = "is2B" *)
(* enum_value_0100 = "is4B" *)
(* enum_value_1000 = "is8B" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [3:0] dec31_dec_sub23_ldst_len;
reg [3:0] dec31_dec_sub23_ldst_len;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub23_lk;
reg dec31_dec_sub23_lk;
(* enum_base_type = "OutSel" *)
(* enum_value_011 = "SPR" *)
(* enum_value_100 = "RT_OR_ZERO" *)
(* enum_value_101 = "FRT" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub23_out_sel;
reg [2:0] dec31_dec_sub23_out_sel;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] dec31_dec_sub23_rc_sel;
reg [1:0] dec31_dec_sub23_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub23_rsrv;
reg dec31_dec_sub23_rsrv;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub23_sgl_pipe;
reg dec31_dec_sub23_sgl_pipe;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub23_sgn;
reg dec31_dec_sub23_sgn;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub23_sgn_ext;
reg dec31_dec_sub23_sgn_ext;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub23_sv_cr_in;
reg [2:0] dec31_dec_sub23_sv_cr_in;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub23_sv_cr_out;
reg [2:0] dec31_dec_sub23_sv_cr_out;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub23_sv_in1;
reg [2:0] dec31_dec_sub23_sv_in1;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub23_sv_in2;
reg [2:0] dec31_dec_sub23_sv_in2;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub23_sv_in3;
reg [2:0] dec31_dec_sub23_sv_in3;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub23_sv_out;
reg [2:0] dec31_dec_sub23_sv_out;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub23_sv_out2;
reg [2:0] dec31_dec_sub23_sv_out2;
(* enum_base_type = "LDSTMode" *)
(* enum_value_01 = "update" *)
(* enum_value_10 = "cix" *)
(* enum_value_11 = "cx" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] dec31_dec_sub23_upd;
reg [1:0] dec31_dec_sub23_upd;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
input [31:0] opcode_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
wire [4:0] opcode_switch;
always @* begin
if (\initial ) begin end
dec31_dec_sub23_function_unit = 15'h0000;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub23_function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub23_function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub23_function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
dec31_dec_sub23_function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
dec31_dec_sub23_function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub23_function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub23_function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
dec31_dec_sub23_function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_dec_sub23_function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_dec_sub23_function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_dec_sub23_function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub23_function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub23_function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub23_function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub23_function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub23_function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub23_function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub23_function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub23_function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub23_function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
dec31_dec_sub23_function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub23_function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub23_function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub23_function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub23_function_unit = 15'h0004;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub23_cr_in = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub23_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub23_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub23_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
dec31_dec_sub23_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
dec31_dec_sub23_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub23_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub23_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
dec31_dec_sub23_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_dec_sub23_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_dec_sub23_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_dec_sub23_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub23_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub23_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub23_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub23_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub23_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub23_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub23_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub23_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub23_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
dec31_dec_sub23_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub23_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub23_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub23_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub23_cr_in = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub23_cr_out = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub23_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub23_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub23_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
dec31_dec_sub23_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
dec31_dec_sub23_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub23_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub23_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
dec31_dec_sub23_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_dec_sub23_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_dec_sub23_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_dec_sub23_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub23_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub23_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub23_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub23_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub23_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub23_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub23_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub23_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub23_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
dec31_dec_sub23_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub23_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub23_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub23_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub23_cr_out = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub23_sv_in1 = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub23_sv_in1 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub23_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub23_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
dec31_dec_sub23_sv_in1 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
dec31_dec_sub23_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub23_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub23_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
dec31_dec_sub23_sv_in1 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_dec_sub23_sv_in1 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_dec_sub23_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_dec_sub23_sv_in1 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub23_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub23_sv_in1 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub23_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub23_sv_in1 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub23_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub23_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub23_sv_in1 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub23_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub23_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
dec31_dec_sub23_sv_in1 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub23_sv_in1 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub23_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub23_sv_in1 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub23_sv_in1 = 3'h2;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub23_sv_in2 = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub23_sv_in2 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub23_sv_in2 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub23_sv_in2 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
dec31_dec_sub23_sv_in2 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
dec31_dec_sub23_sv_in2 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub23_sv_in2 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub23_sv_in2 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
dec31_dec_sub23_sv_in2 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_dec_sub23_sv_in2 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_dec_sub23_sv_in2 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_dec_sub23_sv_in2 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub23_sv_in2 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub23_sv_in2 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub23_sv_in2 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub23_sv_in2 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub23_sv_in2 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub23_sv_in2 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub23_sv_in2 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub23_sv_in2 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub23_sv_in2 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
dec31_dec_sub23_sv_in2 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub23_sv_in2 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub23_sv_in2 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub23_sv_in2 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub23_sv_in2 = 3'h3;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub23_sv_in3 = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub23_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub23_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub23_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
dec31_dec_sub23_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
dec31_dec_sub23_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub23_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub23_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
dec31_dec_sub23_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_dec_sub23_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_dec_sub23_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_dec_sub23_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub23_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub23_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub23_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub23_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub23_sv_in3 = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub23_sv_in3 = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub23_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub23_sv_in3 = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub23_sv_in3 = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
dec31_dec_sub23_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub23_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub23_sv_in3 = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub23_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub23_sv_in3 = 3'h1;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub23_sv_out = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub23_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub23_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub23_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
dec31_dec_sub23_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
dec31_dec_sub23_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub23_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub23_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
dec31_dec_sub23_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_dec_sub23_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_dec_sub23_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_dec_sub23_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub23_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub23_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub23_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub23_sv_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub23_sv_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub23_sv_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub23_sv_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub23_sv_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub23_sv_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
dec31_dec_sub23_sv_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub23_sv_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub23_sv_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub23_sv_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub23_sv_out = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub23_sv_out2 = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub23_sv_out2 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub23_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub23_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
dec31_dec_sub23_sv_out2 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
dec31_dec_sub23_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub23_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub23_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
dec31_dec_sub23_sv_out2 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_dec_sub23_sv_out2 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_dec_sub23_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_dec_sub23_sv_out2 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub23_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub23_sv_out2 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub23_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub23_sv_out2 = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub23_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub23_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub23_sv_out2 = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub23_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub23_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
dec31_dec_sub23_sv_out2 = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub23_sv_out2 = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub23_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub23_sv_out2 = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub23_sv_out2 = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub23_sv_cr_in = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub23_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub23_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub23_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
dec31_dec_sub23_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
dec31_dec_sub23_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub23_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub23_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
dec31_dec_sub23_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_dec_sub23_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_dec_sub23_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_dec_sub23_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub23_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub23_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub23_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub23_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub23_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub23_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub23_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub23_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub23_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
dec31_dec_sub23_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub23_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub23_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub23_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub23_sv_cr_in = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub23_sv_cr_out = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub23_sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub23_sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub23_sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
dec31_dec_sub23_sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
dec31_dec_sub23_sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub23_sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub23_sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
dec31_dec_sub23_sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_dec_sub23_sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_dec_sub23_sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_dec_sub23_sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub23_sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub23_sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub23_sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub23_sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub23_sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub23_sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub23_sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub23_sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub23_sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
dec31_dec_sub23_sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub23_sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub23_sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub23_sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub23_sv_cr_out = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub23_ldst_len = 4'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub23_ldst_len = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub23_ldst_len = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub23_ldst_len = 4'h8;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
dec31_dec_sub23_ldst_len = 4'h8;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
dec31_dec_sub23_ldst_len = 4'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub23_ldst_len = 4'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub23_ldst_len = 4'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
dec31_dec_sub23_ldst_len = 4'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_dec_sub23_ldst_len = 4'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_dec_sub23_ldst_len = 4'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_dec_sub23_ldst_len = 4'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub23_ldst_len = 4'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub23_ldst_len = 4'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub23_ldst_len = 4'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub23_ldst_len = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub23_ldst_len = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub23_ldst_len = 4'h8;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub23_ldst_len = 4'h8;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub23_ldst_len = 4'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub23_ldst_len = 4'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
dec31_dec_sub23_ldst_len = 4'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub23_ldst_len = 4'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub23_ldst_len = 4'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub23_ldst_len = 4'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub23_ldst_len = 4'h4;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub23_internal_op = 7'h00;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub23_internal_op = 7'h25;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub23_internal_op = 7'h25;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub23_internal_op = 7'h25;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
dec31_dec_sub23_internal_op = 7'h25;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
dec31_dec_sub23_internal_op = 7'h25;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub23_internal_op = 7'h25;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub23_internal_op = 7'h25;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
dec31_dec_sub23_internal_op = 7'h25;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_dec_sub23_internal_op = 7'h25;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_dec_sub23_internal_op = 7'h25;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_dec_sub23_internal_op = 7'h25;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub23_internal_op = 7'h25;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub23_internal_op = 7'h25;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub23_internal_op = 7'h25;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub23_internal_op = 7'h26;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub23_internal_op = 7'h26;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub23_internal_op = 7'h26;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub23_internal_op = 7'h26;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub23_internal_op = 7'h26;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub23_internal_op = 7'h26;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
dec31_dec_sub23_internal_op = 7'h26;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub23_internal_op = 7'h26;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub23_internal_op = 7'h26;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub23_internal_op = 7'h26;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub23_internal_op = 7'h26;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub23_upd = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub23_upd = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub23_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub23_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
dec31_dec_sub23_upd = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
dec31_dec_sub23_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub23_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub23_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
dec31_dec_sub23_upd = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_dec_sub23_upd = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_dec_sub23_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_dec_sub23_upd = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub23_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub23_upd = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub23_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub23_upd = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub23_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub23_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub23_upd = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub23_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub23_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
dec31_dec_sub23_upd = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub23_upd = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub23_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub23_upd = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub23_upd = 2'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub23_rc_sel = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub23_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub23_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub23_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
dec31_dec_sub23_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
dec31_dec_sub23_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub23_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub23_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
dec31_dec_sub23_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_dec_sub23_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_dec_sub23_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_dec_sub23_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub23_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub23_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub23_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub23_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub23_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub23_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub23_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub23_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub23_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
dec31_dec_sub23_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub23_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub23_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub23_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub23_rc_sel = 2'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub23_cry_in = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub23_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub23_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub23_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
dec31_dec_sub23_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
dec31_dec_sub23_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub23_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub23_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
dec31_dec_sub23_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_dec_sub23_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_dec_sub23_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_dec_sub23_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub23_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub23_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub23_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub23_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub23_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub23_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub23_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub23_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub23_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
dec31_dec_sub23_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub23_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub23_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub23_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub23_cry_in = 2'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub23_asmcode = 8'h00;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub23_asmcode = 8'h66;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub23_asmcode = 8'h67;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub23_asmcode = 8'h73;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
dec31_dec_sub23_asmcode = 8'h75;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
dec31_dec_sub23_asmcode = 8'h77;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub23_asmcode = 8'h76;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub23_asmcode = 8'h6f;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
dec31_dec_sub23_asmcode = 8'h71;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_dec_sub23_asmcode = 8'h7b;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_dec_sub23_asmcode = 8'h7c;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_dec_sub23_asmcode = 8'h80;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub23_asmcode = 8'h81;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub23_asmcode = 8'h8a;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub23_asmcode = 8'h8b;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub23_asmcode = 8'hcb;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub23_asmcode = 8'hcc;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub23_asmcode = 8'hd8;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub23_asmcode = 8'hda;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub23_asmcode = 8'hdb;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub23_asmcode = 8'hd4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
/* empty */;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub23_asmcode = 8'he0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub23_asmcode = 8'he1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub23_asmcode = 8'he6;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub23_asmcode = 8'he7;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub23_inv_a = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub23_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub23_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub23_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
dec31_dec_sub23_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
dec31_dec_sub23_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub23_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub23_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
dec31_dec_sub23_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_dec_sub23_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_dec_sub23_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_dec_sub23_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub23_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub23_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub23_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub23_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub23_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub23_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub23_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub23_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub23_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
dec31_dec_sub23_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub23_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub23_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub23_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub23_inv_a = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub23_inv_out = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub23_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub23_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub23_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
dec31_dec_sub23_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
dec31_dec_sub23_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub23_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub23_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
dec31_dec_sub23_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_dec_sub23_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_dec_sub23_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_dec_sub23_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub23_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub23_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub23_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub23_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub23_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub23_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub23_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub23_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub23_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
dec31_dec_sub23_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub23_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub23_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub23_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub23_inv_out = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub23_cry_out = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub23_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub23_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub23_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
dec31_dec_sub23_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
dec31_dec_sub23_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub23_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub23_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
dec31_dec_sub23_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_dec_sub23_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_dec_sub23_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_dec_sub23_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub23_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub23_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub23_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub23_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub23_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub23_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub23_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub23_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub23_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
dec31_dec_sub23_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub23_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub23_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub23_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub23_cry_out = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub23_br = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub23_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub23_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub23_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
dec31_dec_sub23_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
dec31_dec_sub23_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub23_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub23_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
dec31_dec_sub23_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_dec_sub23_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_dec_sub23_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_dec_sub23_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub23_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub23_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub23_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub23_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub23_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub23_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub23_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub23_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub23_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
dec31_dec_sub23_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub23_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub23_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub23_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub23_br = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub23_sgn_ext = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub23_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub23_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub23_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
dec31_dec_sub23_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
dec31_dec_sub23_sgn_ext = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub23_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub23_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
dec31_dec_sub23_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_dec_sub23_sgn_ext = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_dec_sub23_sgn_ext = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_dec_sub23_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub23_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub23_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub23_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub23_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub23_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub23_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub23_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub23_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub23_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
dec31_dec_sub23_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub23_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub23_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub23_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub23_sgn_ext = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub23_rsrv = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub23_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub23_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub23_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
dec31_dec_sub23_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
dec31_dec_sub23_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub23_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub23_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
dec31_dec_sub23_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_dec_sub23_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_dec_sub23_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_dec_sub23_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub23_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub23_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub23_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub23_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub23_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub23_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub23_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub23_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub23_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
dec31_dec_sub23_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub23_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub23_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub23_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub23_rsrv = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub23_form = 5'h00;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub23_form = 5'h08;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub23_form = 5'h08;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub23_form = 5'h08;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
dec31_dec_sub23_form = 5'h08;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
dec31_dec_sub23_form = 5'h08;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub23_form = 5'h08;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub23_form = 5'h08;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
dec31_dec_sub23_form = 5'h08;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_dec_sub23_form = 5'h08;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_dec_sub23_form = 5'h08;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_dec_sub23_form = 5'h08;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub23_form = 5'h08;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub23_form = 5'h08;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub23_form = 5'h08;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub23_form = 5'h08;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub23_form = 5'h08;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub23_form = 5'h08;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub23_form = 5'h08;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub23_form = 5'h08;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub23_form = 5'h08;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
dec31_dec_sub23_form = 5'h08;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub23_form = 5'h08;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub23_form = 5'h08;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub23_form = 5'h08;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub23_form = 5'h08;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub23_is_32b = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub23_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub23_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub23_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
dec31_dec_sub23_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
dec31_dec_sub23_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub23_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub23_is_32b = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
dec31_dec_sub23_is_32b = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_dec_sub23_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_dec_sub23_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_dec_sub23_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub23_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub23_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub23_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub23_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub23_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub23_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub23_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub23_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub23_is_32b = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
dec31_dec_sub23_is_32b = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub23_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub23_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub23_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub23_is_32b = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub23_sgn = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub23_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub23_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub23_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
dec31_dec_sub23_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
dec31_dec_sub23_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub23_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub23_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
dec31_dec_sub23_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_dec_sub23_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_dec_sub23_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_dec_sub23_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub23_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub23_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub23_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub23_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub23_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub23_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub23_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub23_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub23_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
dec31_dec_sub23_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub23_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub23_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub23_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub23_sgn = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub23_lk = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub23_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub23_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub23_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
dec31_dec_sub23_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
dec31_dec_sub23_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub23_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub23_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
dec31_dec_sub23_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_dec_sub23_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_dec_sub23_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_dec_sub23_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub23_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub23_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub23_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub23_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub23_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub23_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub23_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub23_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub23_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
dec31_dec_sub23_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub23_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub23_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub23_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub23_lk = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub23_sgl_pipe = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub23_sgl_pipe = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub23_sgl_pipe = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub23_sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
dec31_dec_sub23_sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
dec31_dec_sub23_sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub23_sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub23_sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
dec31_dec_sub23_sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_dec_sub23_sgl_pipe = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_dec_sub23_sgl_pipe = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_dec_sub23_sgl_pipe = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub23_sgl_pipe = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub23_sgl_pipe = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub23_sgl_pipe = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub23_sgl_pipe = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub23_sgl_pipe = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub23_sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub23_sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub23_sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub23_sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
dec31_dec_sub23_sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub23_sgl_pipe = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub23_sgl_pipe = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub23_sgl_pipe = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub23_sgl_pipe = 1'h1;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub23_SV_Etype = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub23_SV_Etype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub23_SV_Etype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub23_SV_Etype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
dec31_dec_sub23_SV_Etype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
dec31_dec_sub23_SV_Etype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub23_SV_Etype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub23_SV_Etype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
dec31_dec_sub23_SV_Etype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_dec_sub23_SV_Etype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_dec_sub23_SV_Etype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_dec_sub23_SV_Etype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub23_SV_Etype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub23_SV_Etype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub23_SV_Etype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub23_SV_Etype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub23_SV_Etype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub23_SV_Etype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub23_SV_Etype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub23_SV_Etype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub23_SV_Etype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
dec31_dec_sub23_SV_Etype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub23_SV_Etype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub23_SV_Etype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub23_SV_Etype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub23_SV_Etype = 2'h1;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub23_SV_Ptype = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub23_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub23_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub23_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
dec31_dec_sub23_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
dec31_dec_sub23_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub23_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub23_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
dec31_dec_sub23_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_dec_sub23_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_dec_sub23_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_dec_sub23_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub23_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub23_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub23_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub23_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub23_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub23_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub23_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub23_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub23_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
dec31_dec_sub23_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub23_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub23_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub23_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub23_SV_Ptype = 2'h2;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub23_in1_sel = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub23_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub23_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub23_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
dec31_dec_sub23_in1_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
dec31_dec_sub23_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub23_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub23_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
dec31_dec_sub23_in1_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_dec_sub23_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_dec_sub23_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_dec_sub23_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub23_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub23_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub23_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub23_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub23_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub23_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub23_in1_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub23_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub23_in1_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
dec31_dec_sub23_in1_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub23_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub23_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub23_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub23_in1_sel = 3'h2;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub23_in2_sel = 4'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub23_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub23_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub23_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
dec31_dec_sub23_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
dec31_dec_sub23_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub23_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub23_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
dec31_dec_sub23_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_dec_sub23_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_dec_sub23_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_dec_sub23_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub23_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub23_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub23_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub23_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub23_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub23_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub23_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub23_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub23_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
dec31_dec_sub23_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub23_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub23_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub23_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub23_in2_sel = 4'h1;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub23_in3_sel = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub23_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub23_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub23_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
dec31_dec_sub23_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
dec31_dec_sub23_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub23_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub23_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
dec31_dec_sub23_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_dec_sub23_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_dec_sub23_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_dec_sub23_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub23_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub23_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub23_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub23_in3_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub23_in3_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub23_in3_sel = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub23_in3_sel = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub23_in3_sel = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub23_in3_sel = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
dec31_dec_sub23_in3_sel = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub23_in3_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub23_in3_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub23_in3_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub23_in3_sel = 3'h1;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub23_out_sel = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub23_out_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub23_out_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub23_out_sel = 3'h5;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
dec31_dec_sub23_out_sel = 3'h5;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1a:
dec31_dec_sub23_out_sel = 3'h5;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub23_out_sel = 3'h5;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub23_out_sel = 3'h5;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
dec31_dec_sub23_out_sel = 3'h5;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_dec_sub23_out_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0a:
dec31_dec_sub23_out_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_dec_sub23_out_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub23_out_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub23_out_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub23_out_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub23_out_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub23_out_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub23_out_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub23_out_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub23_out_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub23_out_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h15:
dec31_dec_sub23_out_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub23_out_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub23_out_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub23_out_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub23_out_sel = 3'h0;
endcase
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "EXTRA2" *)
(* enum_value_10 = "EXTRA3" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] dec31_dec_sub24_SV_Etype;
reg [1:0] dec31_dec_sub24_SV_Etype;
(* enum_base_type = "SVPtype" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "P1" *)
(* enum_value_10 = "P2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] dec31_dec_sub24_SV_Ptype;
reg [1:0] dec31_dec_sub24_SV_Ptype;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [7:0] dec31_dec_sub24_asmcode;
reg [7:0] dec31_dec_sub24_asmcode;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub24_br;
reg dec31_dec_sub24_br;
(* enum_base_type = "CRInSel" *)
(* enum_value_101 = "BC" *)
(* enum_value_110 = "WHOLE_REG" *)
(* enum_value_111 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub24_cr_in;
reg [2:0] dec31_dec_sub24_cr_in;
(* enum_base_type = "CROutSel" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub24_cr_out;
reg [2:0] dec31_dec_sub24_cr_out;
(* enum_base_type = "CryIn" *)
(* enum_value_00 = "ZERO" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "CA" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] dec31_dec_sub24_cry_in;
reg [1:0] dec31_dec_sub24_cry_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub24_cry_out;
reg dec31_dec_sub24_cry_out;
(* enum_base_type = "Form" *)
(* enum_value_11011 = "Z22" *)
(* enum_value_11100 = "Z23" *)
(* enum_value_11101 = "SVL" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [4:0] dec31_dec_sub24_form;
reg [4:0] dec31_dec_sub24_form;
(* enum_base_type = "Function" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [14:0] dec31_dec_sub24_function_unit;
reg [14:0] dec31_dec_sub24_function_unit;
(* enum_base_type = "In1Sel" *)
(* enum_value_100 = "RS" *)
(* enum_value_101 = "FRA" *)
(* enum_value_110 = "FRS" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub24_in1_sel;
reg [2:0] dec31_dec_sub24_in1_sel;
(* enum_base_type = "In2Sel" *)
(* enum_value_1100 = "SPR" *)
(* enum_value_1101 = "RS" *)
(* enum_value_1110 = "FRB" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [3:0] dec31_dec_sub24_in2_sel;
reg [3:0] dec31_dec_sub24_in2_sel;
(* enum_base_type = "In3Sel" *)
(* enum_value_010 = "RB" *)
(* enum_value_011 = "FRS" *)
(* enum_value_100 = "FRC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub24_in3_sel;
reg [2:0] dec31_dec_sub24_in3_sel;
(* enum_base_type = "MicrOp" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [6:0] dec31_dec_sub24_internal_op;
reg [6:0] dec31_dec_sub24_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub24_inv_a;
reg dec31_dec_sub24_inv_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub24_inv_out;
reg dec31_dec_sub24_inv_out;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub24_is_32b;
reg dec31_dec_sub24_is_32b;
(* enum_base_type = "LdstLen" *)
(* enum_value_0010 = "is2B" *)
(* enum_value_0100 = "is4B" *)
(* enum_value_1000 = "is8B" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [3:0] dec31_dec_sub24_ldst_len;
reg [3:0] dec31_dec_sub24_ldst_len;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub24_lk;
reg dec31_dec_sub24_lk;
(* enum_base_type = "OutSel" *)
(* enum_value_011 = "SPR" *)
(* enum_value_100 = "RT_OR_ZERO" *)
(* enum_value_101 = "FRT" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub24_out_sel;
reg [2:0] dec31_dec_sub24_out_sel;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] dec31_dec_sub24_rc_sel;
reg [1:0] dec31_dec_sub24_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub24_rsrv;
reg dec31_dec_sub24_rsrv;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub24_sgl_pipe;
reg dec31_dec_sub24_sgl_pipe;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub24_sgn;
reg dec31_dec_sub24_sgn;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub24_sgn_ext;
reg dec31_dec_sub24_sgn_ext;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub24_sv_cr_in;
reg [2:0] dec31_dec_sub24_sv_cr_in;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub24_sv_cr_out;
reg [2:0] dec31_dec_sub24_sv_cr_out;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub24_sv_in1;
reg [2:0] dec31_dec_sub24_sv_in1;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub24_sv_in2;
reg [2:0] dec31_dec_sub24_sv_in2;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub24_sv_in3;
reg [2:0] dec31_dec_sub24_sv_in3;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub24_sv_out;
reg [2:0] dec31_dec_sub24_sv_out;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub24_sv_out2;
reg [2:0] dec31_dec_sub24_sv_out2;
(* enum_base_type = "LDSTMode" *)
(* enum_value_01 = "update" *)
(* enum_value_10 = "cix" *)
(* enum_value_11 = "cx" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] dec31_dec_sub24_upd;
reg [1:0] dec31_dec_sub24_upd;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
input [31:0] opcode_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
wire [4:0] opcode_switch;
always @* begin
if (\initial ) begin end
dec31_dec_sub24_function_unit = 15'h0000;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub24_function_unit = 15'h0008;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub24_function_unit = 15'h0008;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub24_function_unit = 15'h0008;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub24_function_unit = 15'h0008;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub24_cr_in = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub24_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub24_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub24_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub24_cr_in = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub24_cr_out = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub24_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub24_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub24_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub24_cr_out = 3'h1;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub24_sv_in1 = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub24_sv_in1 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub24_sv_in1 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub24_sv_in1 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub24_sv_in1 = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub24_sv_in2 = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub24_sv_in2 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub24_sv_in2 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub24_sv_in2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub24_sv_in2 = 3'h2;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub24_sv_in3 = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub24_sv_in3 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub24_sv_in3 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub24_sv_in3 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub24_sv_in3 = 3'h3;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub24_sv_out = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub24_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub24_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub24_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub24_sv_out = 3'h1;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub24_sv_out2 = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub24_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub24_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub24_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub24_sv_out2 = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub24_sv_cr_in = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub24_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub24_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub24_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub24_sv_cr_in = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub24_sv_cr_out = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub24_sv_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub24_sv_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub24_sv_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub24_sv_cr_out = 3'h1;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub24_ldst_len = 4'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub24_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub24_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub24_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub24_ldst_len = 4'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub24_internal_op = 7'h00;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub24_internal_op = 7'h3c;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub24_internal_op = 7'h3d;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub24_internal_op = 7'h3d;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub24_internal_op = 7'h3d;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub24_upd = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub24_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub24_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub24_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub24_upd = 2'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub24_rc_sel = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub24_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub24_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub24_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub24_rc_sel = 2'h2;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub24_cry_in = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub24_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub24_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub24_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub24_cry_in = 2'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub24_asmcode = 8'h00;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub24_asmcode = 8'hc0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub24_asmcode = 8'hc3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub24_asmcode = 8'hc4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub24_asmcode = 8'hc6;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub24_inv_a = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub24_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub24_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub24_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub24_inv_a = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub24_inv_out = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub24_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub24_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub24_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub24_inv_out = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub24_cry_out = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub24_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub24_cry_out = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub24_cry_out = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub24_cry_out = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub24_br = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub24_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub24_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub24_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub24_br = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub24_sgn_ext = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub24_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub24_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub24_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub24_sgn_ext = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub24_rsrv = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub24_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub24_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub24_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub24_rsrv = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub24_form = 5'h00;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub24_form = 5'h08;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub24_form = 5'h08;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub24_form = 5'h08;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub24_form = 5'h08;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub24_is_32b = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub24_is_32b = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub24_is_32b = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub24_is_32b = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub24_is_32b = 1'h1;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub24_sgn = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub24_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub24_sgn = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub24_sgn = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub24_sgn = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub24_lk = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub24_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub24_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub24_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub24_lk = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub24_sgl_pipe = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub24_sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub24_sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub24_sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub24_sgl_pipe = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub24_SV_Etype = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub24_SV_Etype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub24_SV_Etype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub24_SV_Etype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub24_SV_Etype = 2'h2;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub24_SV_Ptype = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub24_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub24_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub24_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub24_SV_Ptype = 2'h1;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub24_in1_sel = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub24_in1_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub24_in1_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub24_in1_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub24_in1_sel = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub24_in2_sel = 4'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub24_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub24_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub24_in2_sel = 4'hb;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub24_in2_sel = 4'h1;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub24_in3_sel = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub24_in3_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub24_in3_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub24_in3_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub24_in3_sel = 3'h1;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub24_out_sel = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub24_out_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub24_out_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub24_out_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub24_out_sel = 3'h2;
endcase
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "EXTRA2" *)
(* enum_value_10 = "EXTRA3" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] dec31_dec_sub26_SV_Etype;
reg [1:0] dec31_dec_sub26_SV_Etype;
(* enum_base_type = "SVPtype" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "P1" *)
(* enum_value_10 = "P2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] dec31_dec_sub26_SV_Ptype;
reg [1:0] dec31_dec_sub26_SV_Ptype;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [7:0] dec31_dec_sub26_asmcode;
reg [7:0] dec31_dec_sub26_asmcode;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub26_br;
reg dec31_dec_sub26_br;
(* enum_base_type = "CRInSel" *)
(* enum_value_101 = "BC" *)
(* enum_value_110 = "WHOLE_REG" *)
(* enum_value_111 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub26_cr_in;
reg [2:0] dec31_dec_sub26_cr_in;
(* enum_base_type = "CROutSel" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub26_cr_out;
reg [2:0] dec31_dec_sub26_cr_out;
(* enum_base_type = "CryIn" *)
(* enum_value_00 = "ZERO" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "CA" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] dec31_dec_sub26_cry_in;
reg [1:0] dec31_dec_sub26_cry_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub26_cry_out;
reg dec31_dec_sub26_cry_out;
(* enum_base_type = "Form" *)
(* enum_value_11011 = "Z22" *)
(* enum_value_11100 = "Z23" *)
(* enum_value_11101 = "SVL" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [4:0] dec31_dec_sub26_form;
reg [4:0] dec31_dec_sub26_form;
(* enum_base_type = "Function" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [14:0] dec31_dec_sub26_function_unit;
reg [14:0] dec31_dec_sub26_function_unit;
(* enum_base_type = "In1Sel" *)
(* enum_value_100 = "RS" *)
(* enum_value_101 = "FRA" *)
(* enum_value_110 = "FRS" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub26_in1_sel;
reg [2:0] dec31_dec_sub26_in1_sel;
(* enum_base_type = "In2Sel" *)
(* enum_value_1100 = "SPR" *)
(* enum_value_1101 = "RS" *)
(* enum_value_1110 = "FRB" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [3:0] dec31_dec_sub26_in2_sel;
reg [3:0] dec31_dec_sub26_in2_sel;
(* enum_base_type = "In3Sel" *)
(* enum_value_010 = "RB" *)
(* enum_value_011 = "FRS" *)
(* enum_value_100 = "FRC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub26_in3_sel;
reg [2:0] dec31_dec_sub26_in3_sel;
(* enum_base_type = "MicrOp" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [6:0] dec31_dec_sub26_internal_op;
reg [6:0] dec31_dec_sub26_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub26_inv_a;
reg dec31_dec_sub26_inv_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub26_inv_out;
reg dec31_dec_sub26_inv_out;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub26_is_32b;
reg dec31_dec_sub26_is_32b;
(* enum_base_type = "LdstLen" *)
(* enum_value_0010 = "is2B" *)
(* enum_value_0100 = "is4B" *)
(* enum_value_1000 = "is8B" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [3:0] dec31_dec_sub26_ldst_len;
reg [3:0] dec31_dec_sub26_ldst_len;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub26_lk;
reg dec31_dec_sub26_lk;
(* enum_base_type = "OutSel" *)
(* enum_value_011 = "SPR" *)
(* enum_value_100 = "RT_OR_ZERO" *)
(* enum_value_101 = "FRT" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub26_out_sel;
reg [2:0] dec31_dec_sub26_out_sel;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] dec31_dec_sub26_rc_sel;
reg [1:0] dec31_dec_sub26_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub26_rsrv;
reg dec31_dec_sub26_rsrv;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub26_sgl_pipe;
reg dec31_dec_sub26_sgl_pipe;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub26_sgn;
reg dec31_dec_sub26_sgn;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub26_sgn_ext;
reg dec31_dec_sub26_sgn_ext;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub26_sv_cr_in;
reg [2:0] dec31_dec_sub26_sv_cr_in;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub26_sv_cr_out;
reg [2:0] dec31_dec_sub26_sv_cr_out;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub26_sv_in1;
reg [2:0] dec31_dec_sub26_sv_in1;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub26_sv_in2;
reg [2:0] dec31_dec_sub26_sv_in2;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub26_sv_in3;
reg [2:0] dec31_dec_sub26_sv_in3;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub26_sv_out;
reg [2:0] dec31_dec_sub26_sv_out;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub26_sv_out2;
reg [2:0] dec31_dec_sub26_sv_out2;
(* enum_base_type = "LDSTMode" *)
(* enum_value_01 = "update" *)
(* enum_value_10 = "cix" *)
(* enum_value_11 = "cx" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] dec31_dec_sub26_upd;
reg [1:0] dec31_dec_sub26_upd;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
input [31:0] opcode_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
wire [4:0] opcode_switch;
always @* begin
if (\initial ) begin end
dec31_dec_sub26_function_unit = 15'h0000;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub26_function_unit = 15'h0010;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub26_function_unit = 15'h0010;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
dec31_dec_sub26_function_unit = 15'h0010;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub26_function_unit = 15'h0010;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub26_function_unit = 15'h0002;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub26_function_unit = 15'h0002;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub26_function_unit = 15'h0002;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub26_function_unit = 15'h0008;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub26_function_unit = 15'h0010;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub26_function_unit = 15'h0010;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_dec_sub26_function_unit = 15'h0010;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub26_function_unit = 15'h0010;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub26_function_unit = 15'h0010;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub26_function_unit = 15'h0008;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub26_function_unit = 15'h0008;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub26_cr_in = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub26_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub26_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
dec31_dec_sub26_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub26_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub26_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub26_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub26_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub26_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub26_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub26_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_dec_sub26_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub26_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub26_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub26_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub26_cr_in = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub26_cr_out = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub26_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub26_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
dec31_dec_sub26_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub26_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub26_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub26_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub26_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub26_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub26_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub26_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_dec_sub26_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub26_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub26_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub26_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub26_cr_out = 3'h1;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub26_sv_in1 = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub26_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub26_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
dec31_dec_sub26_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub26_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub26_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub26_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub26_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub26_sv_in1 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub26_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub26_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_dec_sub26_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub26_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub26_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub26_sv_in1 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub26_sv_in1 = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub26_sv_in2 = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub26_sv_in2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub26_sv_in2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
dec31_dec_sub26_sv_in2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub26_sv_in2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub26_sv_in2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub26_sv_in2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub26_sv_in2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub26_sv_in2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub26_sv_in2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub26_sv_in2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_dec_sub26_sv_in2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub26_sv_in2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub26_sv_in2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub26_sv_in2 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub26_sv_in2 = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub26_sv_in3 = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub26_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub26_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
dec31_dec_sub26_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub26_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub26_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub26_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub26_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub26_sv_in3 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub26_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub26_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_dec_sub26_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub26_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub26_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub26_sv_in3 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub26_sv_in3 = 3'h2;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub26_sv_out = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub26_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub26_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
dec31_dec_sub26_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub26_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub26_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub26_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub26_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub26_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub26_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub26_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_dec_sub26_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub26_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub26_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub26_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub26_sv_out = 3'h1;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub26_sv_out2 = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub26_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub26_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
dec31_dec_sub26_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub26_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub26_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub26_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub26_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub26_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub26_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub26_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_dec_sub26_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub26_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub26_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub26_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub26_sv_out2 = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub26_sv_cr_in = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub26_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub26_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
dec31_dec_sub26_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub26_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub26_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub26_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub26_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub26_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub26_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub26_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_dec_sub26_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub26_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub26_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub26_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub26_sv_cr_in = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub26_sv_cr_out = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub26_sv_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub26_sv_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
dec31_dec_sub26_sv_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub26_sv_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub26_sv_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub26_sv_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub26_sv_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub26_sv_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub26_sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub26_sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_dec_sub26_sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub26_sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub26_sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub26_sv_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub26_sv_cr_out = 3'h1;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub26_ldst_len = 4'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub26_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub26_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
dec31_dec_sub26_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub26_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub26_ldst_len = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub26_ldst_len = 4'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub26_ldst_len = 4'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub26_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub26_ldst_len = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub26_ldst_len = 4'h8;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_dec_sub26_ldst_len = 4'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub26_ldst_len = 4'h8;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub26_ldst_len = 4'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub26_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub26_ldst_len = 4'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub26_internal_op = 7'h00;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub26_internal_op = 7'h0e;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub26_internal_op = 7'h0e;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
dec31_dec_sub26_internal_op = 7'h0e;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub26_internal_op = 7'h0e;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub26_internal_op = 7'h1f;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub26_internal_op = 7'h1f;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub26_internal_op = 7'h1f;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub26_internal_op = 7'h20;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub26_internal_op = 7'h36;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub26_internal_op = 7'h36;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_dec_sub26_internal_op = 7'h36;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub26_internal_op = 7'h37;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub26_internal_op = 7'h37;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub26_internal_op = 7'h3d;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub26_internal_op = 7'h3d;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub26_upd = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub26_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub26_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
dec31_dec_sub26_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub26_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub26_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub26_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub26_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub26_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub26_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub26_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_dec_sub26_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub26_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub26_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub26_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub26_upd = 2'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub26_rc_sel = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub26_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub26_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
dec31_dec_sub26_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub26_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub26_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub26_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub26_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub26_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub26_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub26_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_dec_sub26_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub26_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub26_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub26_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub26_rc_sel = 2'h2;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub26_cry_in = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub26_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub26_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
dec31_dec_sub26_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub26_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub26_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub26_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub26_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub26_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub26_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub26_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_dec_sub26_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub26_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub26_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub26_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub26_cry_in = 2'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub26_asmcode = 8'h00;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub26_asmcode = 8'h21;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub26_asmcode = 8'h22;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
dec31_dec_sub26_asmcode = 8'h23;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub26_asmcode = 8'h24;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub26_asmcode = 8'h44;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub26_asmcode = 8'h45;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub26_asmcode = 8'h46;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub26_asmcode = 8'h47;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub26_asmcode = 8'hac;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub26_asmcode = 8'had;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_dec_sub26_asmcode = 8'hae;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub26_asmcode = 8'haf;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub26_asmcode = 8'hb0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub26_asmcode = 8'hc1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub26_asmcode = 8'hc2;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub26_inv_a = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub26_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub26_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
dec31_dec_sub26_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub26_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub26_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub26_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub26_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub26_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub26_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub26_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_dec_sub26_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub26_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub26_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub26_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub26_inv_a = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub26_inv_out = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub26_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub26_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
dec31_dec_sub26_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub26_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub26_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub26_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub26_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub26_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub26_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub26_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_dec_sub26_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub26_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub26_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub26_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub26_inv_out = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub26_cry_out = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub26_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub26_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
dec31_dec_sub26_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub26_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub26_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub26_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub26_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub26_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub26_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub26_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_dec_sub26_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub26_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub26_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub26_cry_out = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub26_cry_out = 1'h1;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub26_br = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub26_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub26_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
dec31_dec_sub26_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub26_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub26_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub26_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub26_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub26_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub26_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub26_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_dec_sub26_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub26_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub26_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub26_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub26_br = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub26_sgn_ext = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub26_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub26_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
dec31_dec_sub26_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub26_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub26_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub26_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub26_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub26_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub26_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub26_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_dec_sub26_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub26_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub26_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub26_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub26_sgn_ext = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub26_rsrv = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub26_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub26_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
dec31_dec_sub26_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub26_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub26_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub26_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub26_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub26_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub26_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub26_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_dec_sub26_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub26_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub26_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub26_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub26_rsrv = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub26_form = 5'h00;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub26_form = 5'h08;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub26_form = 5'h08;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
dec31_dec_sub26_form = 5'h08;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub26_form = 5'h08;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub26_form = 5'h08;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub26_form = 5'h08;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub26_form = 5'h08;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub26_form = 5'h10;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub26_form = 5'h08;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub26_form = 5'h08;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_dec_sub26_form = 5'h08;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub26_form = 5'h08;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub26_form = 5'h08;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub26_form = 5'h08;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub26_form = 5'h10;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub26_is_32b = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub26_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub26_is_32b = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
dec31_dec_sub26_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub26_is_32b = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub26_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub26_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub26_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub26_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub26_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub26_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_dec_sub26_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub26_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub26_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub26_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub26_is_32b = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub26_sgn = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub26_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub26_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
dec31_dec_sub26_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub26_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub26_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub26_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub26_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub26_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub26_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub26_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_dec_sub26_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub26_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub26_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub26_sgn = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub26_sgn = 1'h1;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub26_lk = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub26_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub26_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
dec31_dec_sub26_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub26_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub26_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub26_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub26_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub26_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub26_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub26_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_dec_sub26_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub26_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub26_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub26_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub26_lk = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub26_sgl_pipe = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub26_sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub26_sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
dec31_dec_sub26_sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub26_sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub26_sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub26_sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub26_sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub26_sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub26_sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub26_sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_dec_sub26_sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub26_sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub26_sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub26_sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub26_sgl_pipe = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub26_SV_Etype = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub26_SV_Etype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub26_SV_Etype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
dec31_dec_sub26_SV_Etype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub26_SV_Etype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub26_SV_Etype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub26_SV_Etype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub26_SV_Etype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub26_SV_Etype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub26_SV_Etype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub26_SV_Etype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_dec_sub26_SV_Etype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub26_SV_Etype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub26_SV_Etype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub26_SV_Etype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub26_SV_Etype = 2'h2;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub26_SV_Ptype = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub26_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub26_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
dec31_dec_sub26_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub26_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub26_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub26_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub26_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub26_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub26_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub26_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_dec_sub26_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub26_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub26_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub26_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub26_SV_Ptype = 2'h2;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub26_in1_sel = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub26_in1_sel = 3'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub26_in1_sel = 3'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
dec31_dec_sub26_in1_sel = 3'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub26_in1_sel = 3'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub26_in1_sel = 3'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub26_in1_sel = 3'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub26_in1_sel = 3'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub26_in1_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub26_in1_sel = 3'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub26_in1_sel = 3'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_dec_sub26_in1_sel = 3'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub26_in1_sel = 3'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub26_in1_sel = 3'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub26_in1_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub26_in1_sel = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub26_in2_sel = 4'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub26_in2_sel = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub26_in2_sel = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
dec31_dec_sub26_in2_sel = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub26_in2_sel = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub26_in2_sel = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub26_in2_sel = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub26_in2_sel = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub26_in2_sel = 4'ha;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub26_in2_sel = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub26_in2_sel = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_dec_sub26_in2_sel = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub26_in2_sel = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub26_in2_sel = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub26_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub26_in2_sel = 4'ha;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub26_in3_sel = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub26_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub26_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
dec31_dec_sub26_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub26_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub26_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub26_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub26_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub26_in3_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub26_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub26_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_dec_sub26_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub26_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub26_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub26_in3_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub26_in3_sel = 3'h1;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub26_out_sel = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub26_out_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub26_out_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
dec31_dec_sub26_out_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub26_out_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub26_out_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub26_out_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub26_out_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub26_out_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub26_out_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub26_out_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0b:
dec31_dec_sub26_out_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h05:
dec31_dec_sub26_out_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub26_out_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub26_out_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub26_out_sel = 3'h2;
endcase
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "EXTRA2" *)
(* enum_value_10 = "EXTRA3" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] dec31_dec_sub27_SV_Etype;
reg [1:0] dec31_dec_sub27_SV_Etype;
(* enum_base_type = "SVPtype" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "P1" *)
(* enum_value_10 = "P2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] dec31_dec_sub27_SV_Ptype;
reg [1:0] dec31_dec_sub27_SV_Ptype;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [7:0] dec31_dec_sub27_asmcode;
reg [7:0] dec31_dec_sub27_asmcode;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub27_br;
reg dec31_dec_sub27_br;
(* enum_base_type = "CRInSel" *)
(* enum_value_101 = "BC" *)
(* enum_value_110 = "WHOLE_REG" *)
(* enum_value_111 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub27_cr_in;
reg [2:0] dec31_dec_sub27_cr_in;
(* enum_base_type = "CROutSel" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub27_cr_out;
reg [2:0] dec31_dec_sub27_cr_out;
(* enum_base_type = "CryIn" *)
(* enum_value_00 = "ZERO" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "CA" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] dec31_dec_sub27_cry_in;
reg [1:0] dec31_dec_sub27_cry_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub27_cry_out;
reg dec31_dec_sub27_cry_out;
(* enum_base_type = "Form" *)
(* enum_value_11011 = "Z22" *)
(* enum_value_11100 = "Z23" *)
(* enum_value_11101 = "SVL" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [4:0] dec31_dec_sub27_form;
reg [4:0] dec31_dec_sub27_form;
(* enum_base_type = "Function" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [14:0] dec31_dec_sub27_function_unit;
reg [14:0] dec31_dec_sub27_function_unit;
(* enum_base_type = "In1Sel" *)
(* enum_value_100 = "RS" *)
(* enum_value_101 = "FRA" *)
(* enum_value_110 = "FRS" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub27_in1_sel;
reg [2:0] dec31_dec_sub27_in1_sel;
(* enum_base_type = "In2Sel" *)
(* enum_value_1100 = "SPR" *)
(* enum_value_1101 = "RS" *)
(* enum_value_1110 = "FRB" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [3:0] dec31_dec_sub27_in2_sel;
reg [3:0] dec31_dec_sub27_in2_sel;
(* enum_base_type = "In3Sel" *)
(* enum_value_010 = "RB" *)
(* enum_value_011 = "FRS" *)
(* enum_value_100 = "FRC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub27_in3_sel;
reg [2:0] dec31_dec_sub27_in3_sel;
(* enum_base_type = "MicrOp" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [6:0] dec31_dec_sub27_internal_op;
reg [6:0] dec31_dec_sub27_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub27_inv_a;
reg dec31_dec_sub27_inv_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub27_inv_out;
reg dec31_dec_sub27_inv_out;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub27_is_32b;
reg dec31_dec_sub27_is_32b;
(* enum_base_type = "LdstLen" *)
(* enum_value_0010 = "is2B" *)
(* enum_value_0100 = "is4B" *)
(* enum_value_1000 = "is8B" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [3:0] dec31_dec_sub27_ldst_len;
reg [3:0] dec31_dec_sub27_ldst_len;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub27_lk;
reg dec31_dec_sub27_lk;
(* enum_base_type = "OutSel" *)
(* enum_value_011 = "SPR" *)
(* enum_value_100 = "RT_OR_ZERO" *)
(* enum_value_101 = "FRT" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub27_out_sel;
reg [2:0] dec31_dec_sub27_out_sel;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] dec31_dec_sub27_rc_sel;
reg [1:0] dec31_dec_sub27_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub27_rsrv;
reg dec31_dec_sub27_rsrv;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub27_sgl_pipe;
reg dec31_dec_sub27_sgl_pipe;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub27_sgn;
reg dec31_dec_sub27_sgn;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub27_sgn_ext;
reg dec31_dec_sub27_sgn_ext;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub27_sv_cr_in;
reg [2:0] dec31_dec_sub27_sv_cr_in;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub27_sv_cr_out;
reg [2:0] dec31_dec_sub27_sv_cr_out;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub27_sv_in1;
reg [2:0] dec31_dec_sub27_sv_in1;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub27_sv_in2;
reg [2:0] dec31_dec_sub27_sv_in2;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub27_sv_in3;
reg [2:0] dec31_dec_sub27_sv_in3;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub27_sv_out;
reg [2:0] dec31_dec_sub27_sv_out;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub27_sv_out2;
reg [2:0] dec31_dec_sub27_sv_out2;
(* enum_base_type = "LDSTMode" *)
(* enum_value_01 = "update" *)
(* enum_value_10 = "cix" *)
(* enum_value_11 = "cx" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] dec31_dec_sub27_upd;
reg [1:0] dec31_dec_sub27_upd;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
input [31:0] opcode_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
wire [4:0] opcode_switch;
always @* begin
if (\initial ) begin end
dec31_dec_sub27_function_unit = 15'h0000;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub27_function_unit = 15'h0008;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub27_function_unit = 15'h0008;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub27_function_unit = 15'h0008;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub27_function_unit = 15'h0008;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub27_cr_in = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub27_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub27_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub27_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub27_cr_in = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub27_cr_out = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub27_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub27_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub27_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub27_cr_out = 3'h1;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub27_sv_in1 = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub27_sv_in1 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub27_sv_in1 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub27_sv_in1 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub27_sv_in1 = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub27_sv_in2 = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub27_sv_in2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub27_sv_in2 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub27_sv_in2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub27_sv_in2 = 3'h2;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub27_sv_in3 = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub27_sv_in3 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub27_sv_in3 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub27_sv_in3 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub27_sv_in3 = 3'h3;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub27_sv_out = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub27_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub27_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub27_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub27_sv_out = 3'h1;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub27_sv_out2 = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub27_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub27_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub27_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub27_sv_out2 = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub27_sv_cr_in = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub27_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub27_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub27_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub27_sv_cr_in = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub27_sv_cr_out = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub27_sv_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub27_sv_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub27_sv_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub27_sv_cr_out = 3'h1;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub27_ldst_len = 4'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub27_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub27_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub27_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub27_ldst_len = 4'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub27_internal_op = 7'h00;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub27_internal_op = 7'h20;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub27_internal_op = 7'h3c;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub27_internal_op = 7'h3d;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub27_internal_op = 7'h3d;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub27_upd = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub27_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub27_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub27_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub27_upd = 2'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub27_rc_sel = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub27_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub27_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub27_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub27_rc_sel = 2'h2;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub27_cry_in = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub27_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub27_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub27_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub27_cry_in = 2'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub27_asmcode = 8'h00;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub27_asmcode = 8'h47;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub27_asmcode = 8'hbf;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub27_asmcode = 8'hc2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub27_asmcode = 8'hc5;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub27_inv_a = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub27_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub27_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub27_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub27_inv_a = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub27_inv_out = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub27_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub27_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub27_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub27_inv_out = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub27_cry_out = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub27_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub27_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub27_cry_out = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub27_cry_out = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub27_br = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub27_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub27_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub27_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub27_br = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub27_sgn_ext = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub27_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub27_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub27_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub27_sgn_ext = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub27_rsrv = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub27_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub27_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub27_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub27_rsrv = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub27_form = 5'h00;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub27_form = 5'h10;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub27_form = 5'h08;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub27_form = 5'h10;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub27_form = 5'h08;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub27_is_32b = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub27_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub27_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub27_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub27_is_32b = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub27_sgn = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub27_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub27_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub27_sgn = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub27_sgn = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub27_lk = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub27_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub27_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub27_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub27_lk = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub27_sgl_pipe = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub27_sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub27_sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub27_sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub27_sgl_pipe = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub27_SV_Etype = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub27_SV_Etype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub27_SV_Etype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub27_SV_Etype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub27_SV_Etype = 2'h2;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub27_SV_Ptype = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub27_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub27_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub27_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub27_SV_Ptype = 2'h1;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub27_in1_sel = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub27_in1_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub27_in1_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub27_in1_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub27_in1_sel = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub27_in2_sel = 4'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub27_in2_sel = 4'ha;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub27_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub27_in2_sel = 4'ha;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub27_in2_sel = 4'h1;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub27_in3_sel = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub27_in3_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub27_in3_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub27_in3_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub27_in3_sel = 3'h1;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub27_out_sel = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1b:
dec31_dec_sub27_out_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub27_out_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h19:
dec31_dec_sub27_out_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub27_out_sel = 3'h2;
endcase
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "EXTRA2" *)
(* enum_value_10 = "EXTRA3" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] dec31_dec_sub28_SV_Etype;
reg [1:0] dec31_dec_sub28_SV_Etype;
(* enum_base_type = "SVPtype" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "P1" *)
(* enum_value_10 = "P2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] dec31_dec_sub28_SV_Ptype;
reg [1:0] dec31_dec_sub28_SV_Ptype;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [7:0] dec31_dec_sub28_asmcode;
reg [7:0] dec31_dec_sub28_asmcode;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub28_br;
reg dec31_dec_sub28_br;
(* enum_base_type = "CRInSel" *)
(* enum_value_101 = "BC" *)
(* enum_value_110 = "WHOLE_REG" *)
(* enum_value_111 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub28_cr_in;
reg [2:0] dec31_dec_sub28_cr_in;
(* enum_base_type = "CROutSel" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub28_cr_out;
reg [2:0] dec31_dec_sub28_cr_out;
(* enum_base_type = "CryIn" *)
(* enum_value_00 = "ZERO" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "CA" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] dec31_dec_sub28_cry_in;
reg [1:0] dec31_dec_sub28_cry_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub28_cry_out;
reg dec31_dec_sub28_cry_out;
(* enum_base_type = "Form" *)
(* enum_value_11011 = "Z22" *)
(* enum_value_11100 = "Z23" *)
(* enum_value_11101 = "SVL" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [4:0] dec31_dec_sub28_form;
reg [4:0] dec31_dec_sub28_form;
(* enum_base_type = "Function" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [14:0] dec31_dec_sub28_function_unit;
reg [14:0] dec31_dec_sub28_function_unit;
(* enum_base_type = "In1Sel" *)
(* enum_value_100 = "RS" *)
(* enum_value_101 = "FRA" *)
(* enum_value_110 = "FRS" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub28_in1_sel;
reg [2:0] dec31_dec_sub28_in1_sel;
(* enum_base_type = "In2Sel" *)
(* enum_value_1100 = "SPR" *)
(* enum_value_1101 = "RS" *)
(* enum_value_1110 = "FRB" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [3:0] dec31_dec_sub28_in2_sel;
reg [3:0] dec31_dec_sub28_in2_sel;
(* enum_base_type = "In3Sel" *)
(* enum_value_010 = "RB" *)
(* enum_value_011 = "FRS" *)
(* enum_value_100 = "FRC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub28_in3_sel;
reg [2:0] dec31_dec_sub28_in3_sel;
(* enum_base_type = "MicrOp" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [6:0] dec31_dec_sub28_internal_op;
reg [6:0] dec31_dec_sub28_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub28_inv_a;
reg dec31_dec_sub28_inv_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub28_inv_out;
reg dec31_dec_sub28_inv_out;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub28_is_32b;
reg dec31_dec_sub28_is_32b;
(* enum_base_type = "LdstLen" *)
(* enum_value_0010 = "is2B" *)
(* enum_value_0100 = "is4B" *)
(* enum_value_1000 = "is8B" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [3:0] dec31_dec_sub28_ldst_len;
reg [3:0] dec31_dec_sub28_ldst_len;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub28_lk;
reg dec31_dec_sub28_lk;
(* enum_base_type = "OutSel" *)
(* enum_value_011 = "SPR" *)
(* enum_value_100 = "RT_OR_ZERO" *)
(* enum_value_101 = "FRT" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub28_out_sel;
reg [2:0] dec31_dec_sub28_out_sel;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] dec31_dec_sub28_rc_sel;
reg [1:0] dec31_dec_sub28_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub28_rsrv;
reg dec31_dec_sub28_rsrv;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub28_sgl_pipe;
reg dec31_dec_sub28_sgl_pipe;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub28_sgn;
reg dec31_dec_sub28_sgn;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub28_sgn_ext;
reg dec31_dec_sub28_sgn_ext;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub28_sv_cr_in;
reg [2:0] dec31_dec_sub28_sv_cr_in;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub28_sv_cr_out;
reg [2:0] dec31_dec_sub28_sv_cr_out;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub28_sv_in1;
reg [2:0] dec31_dec_sub28_sv_in1;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub28_sv_in2;
reg [2:0] dec31_dec_sub28_sv_in2;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub28_sv_in3;
reg [2:0] dec31_dec_sub28_sv_in3;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub28_sv_out;
reg [2:0] dec31_dec_sub28_sv_out;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub28_sv_out2;
reg [2:0] dec31_dec_sub28_sv_out2;
(* enum_base_type = "LDSTMode" *)
(* enum_value_01 = "update" *)
(* enum_value_10 = "cix" *)
(* enum_value_11 = "cx" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] dec31_dec_sub28_upd;
reg [1:0] dec31_dec_sub28_upd;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
input [31:0] opcode_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
wire [4:0] opcode_switch;
always @* begin
if (\initial ) begin end
dec31_dec_sub28_function_unit = 15'h0000;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub28_function_unit = 15'h0010;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub28_function_unit = 15'h0010;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub28_function_unit = 15'h0010;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub28_function_unit = 15'h0010;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub28_function_unit = 15'h0010;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub28_function_unit = 15'h0010;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub28_function_unit = 15'h0010;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub28_function_unit = 15'h0010;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub28_function_unit = 15'h0010;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_dec_sub28_function_unit = 15'h0010;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub28_cr_in = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub28_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub28_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub28_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub28_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub28_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub28_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub28_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub28_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub28_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_dec_sub28_cr_in = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub28_cr_out = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub28_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub28_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub28_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub28_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub28_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub28_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub28_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub28_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub28_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_dec_sub28_cr_out = 3'h1;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub28_sv_in1 = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub28_sv_in1 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub28_sv_in1 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub28_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub28_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub28_sv_in1 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub28_sv_in1 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub28_sv_in1 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub28_sv_in1 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub28_sv_in1 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_dec_sub28_sv_in1 = 3'h3;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub28_sv_in2 = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub28_sv_in2 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub28_sv_in2 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub28_sv_in2 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub28_sv_in2 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub28_sv_in2 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub28_sv_in2 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub28_sv_in2 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub28_sv_in2 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub28_sv_in2 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_dec_sub28_sv_in2 = 3'h2;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub28_sv_in3 = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub28_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub28_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub28_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub28_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub28_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub28_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub28_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub28_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub28_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_dec_sub28_sv_in3 = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub28_sv_out = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub28_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub28_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub28_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub28_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub28_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub28_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub28_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub28_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub28_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_dec_sub28_sv_out = 3'h1;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub28_sv_out2 = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub28_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub28_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub28_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub28_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub28_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub28_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub28_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub28_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub28_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_dec_sub28_sv_out2 = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub28_sv_cr_in = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub28_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub28_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub28_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub28_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub28_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub28_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub28_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub28_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub28_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_dec_sub28_sv_cr_in = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub28_sv_cr_out = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub28_sv_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub28_sv_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub28_sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub28_sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub28_sv_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub28_sv_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub28_sv_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub28_sv_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub28_sv_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_dec_sub28_sv_cr_out = 3'h1;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub28_ldst_len = 4'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub28_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub28_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub28_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub28_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub28_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub28_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub28_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub28_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub28_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_dec_sub28_ldst_len = 4'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub28_internal_op = 7'h00;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub28_internal_op = 7'h04;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub28_internal_op = 7'h04;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub28_internal_op = 7'h09;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub28_internal_op = 7'h0b;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub28_internal_op = 7'h43;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub28_internal_op = 7'h04;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub28_internal_op = 7'h35;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub28_internal_op = 7'h35;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub28_internal_op = 7'h35;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_dec_sub28_internal_op = 7'h43;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub28_upd = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub28_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub28_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub28_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub28_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub28_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub28_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub28_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub28_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub28_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_dec_sub28_upd = 2'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub28_rc_sel = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub28_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub28_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub28_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub28_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub28_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub28_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub28_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub28_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub28_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_dec_sub28_rc_sel = 2'h2;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub28_cry_in = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub28_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub28_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub28_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub28_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub28_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub28_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub28_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub28_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub28_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_dec_sub28_cry_in = 2'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub28_asmcode = 8'h00;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub28_asmcode = 8'h0f;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub28_asmcode = 8'h10;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub28_asmcode = 8'h19;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub28_asmcode = 8'h1b;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub28_asmcode = 8'h43;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub28_asmcode = 8'ha3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub28_asmcode = 8'ha7;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub28_asmcode = 8'ha8;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub28_asmcode = 8'ha9;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_dec_sub28_asmcode = 8'hfa;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub28_inv_a = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub28_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub28_inv_a = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub28_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub28_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub28_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub28_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub28_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub28_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub28_inv_a = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_dec_sub28_inv_a = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub28_inv_out = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub28_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub28_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub28_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub28_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub28_inv_out = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub28_inv_out = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub28_inv_out = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub28_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub28_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_dec_sub28_inv_out = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub28_cry_out = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub28_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub28_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub28_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub28_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub28_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub28_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub28_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub28_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub28_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_dec_sub28_cry_out = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub28_br = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub28_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub28_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub28_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub28_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub28_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub28_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub28_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub28_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub28_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_dec_sub28_br = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub28_sgn_ext = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub28_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub28_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub28_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub28_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub28_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub28_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub28_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub28_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub28_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_dec_sub28_sgn_ext = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub28_rsrv = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub28_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub28_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub28_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub28_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub28_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub28_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub28_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub28_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub28_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_dec_sub28_rsrv = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub28_form = 5'h00;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub28_form = 5'h08;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub28_form = 5'h08;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub28_form = 5'h08;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub28_form = 5'h08;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub28_form = 5'h08;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub28_form = 5'h08;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub28_form = 5'h08;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub28_form = 5'h08;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub28_form = 5'h08;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_dec_sub28_form = 5'h08;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub28_is_32b = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub28_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub28_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub28_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub28_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub28_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub28_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub28_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub28_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub28_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_dec_sub28_is_32b = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub28_sgn = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub28_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub28_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub28_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub28_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub28_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub28_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub28_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub28_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub28_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_dec_sub28_sgn = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub28_lk = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub28_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub28_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub28_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub28_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub28_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub28_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub28_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub28_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub28_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_dec_sub28_lk = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub28_sgl_pipe = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub28_sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub28_sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub28_sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub28_sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub28_sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub28_sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub28_sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub28_sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub28_sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_dec_sub28_sgl_pipe = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub28_SV_Etype = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub28_SV_Etype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub28_SV_Etype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub28_SV_Etype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub28_SV_Etype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub28_SV_Etype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub28_SV_Etype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub28_SV_Etype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub28_SV_Etype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub28_SV_Etype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_dec_sub28_SV_Etype = 2'h2;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub28_SV_Ptype = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub28_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub28_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub28_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub28_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub28_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub28_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub28_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub28_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub28_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_dec_sub28_SV_Ptype = 2'h1;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub28_in1_sel = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub28_in1_sel = 3'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub28_in1_sel = 3'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub28_in1_sel = 3'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub28_in1_sel = 3'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub28_in1_sel = 3'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub28_in1_sel = 3'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub28_in1_sel = 3'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub28_in1_sel = 3'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub28_in1_sel = 3'h4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_dec_sub28_in1_sel = 3'h4;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub28_in2_sel = 4'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub28_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub28_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub28_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub28_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub28_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub28_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub28_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub28_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub28_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_dec_sub28_in2_sel = 4'h1;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub28_in3_sel = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub28_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub28_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub28_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub28_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub28_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub28_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub28_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub28_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub28_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_dec_sub28_in3_sel = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub28_out_sel = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub28_out_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub28_out_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub28_out_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub28_out_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub28_out_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub28_out_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub28_out_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub28_out_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub28_out_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h09:
dec31_dec_sub28_out_sel = 3'h2;
endcase
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "EXTRA2" *)
(* enum_value_10 = "EXTRA3" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] dec31_dec_sub4_SV_Etype;
reg [1:0] dec31_dec_sub4_SV_Etype;
(* enum_base_type = "SVPtype" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "P1" *)
(* enum_value_10 = "P2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] dec31_dec_sub4_SV_Ptype;
reg [1:0] dec31_dec_sub4_SV_Ptype;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [7:0] dec31_dec_sub4_asmcode;
reg [7:0] dec31_dec_sub4_asmcode;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub4_br;
reg dec31_dec_sub4_br;
(* enum_base_type = "CRInSel" *)
(* enum_value_101 = "BC" *)
(* enum_value_110 = "WHOLE_REG" *)
(* enum_value_111 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub4_cr_in;
reg [2:0] dec31_dec_sub4_cr_in;
(* enum_base_type = "CROutSel" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub4_cr_out;
reg [2:0] dec31_dec_sub4_cr_out;
(* enum_base_type = "CryIn" *)
(* enum_value_00 = "ZERO" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "CA" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] dec31_dec_sub4_cry_in;
reg [1:0] dec31_dec_sub4_cry_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub4_cry_out;
reg dec31_dec_sub4_cry_out;
(* enum_base_type = "Form" *)
(* enum_value_11011 = "Z22" *)
(* enum_value_11100 = "Z23" *)
(* enum_value_11101 = "SVL" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [4:0] dec31_dec_sub4_form;
reg [4:0] dec31_dec_sub4_form;
(* enum_base_type = "Function" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [14:0] dec31_dec_sub4_function_unit;
reg [14:0] dec31_dec_sub4_function_unit;
(* enum_base_type = "In1Sel" *)
(* enum_value_100 = "RS" *)
(* enum_value_101 = "FRA" *)
(* enum_value_110 = "FRS" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub4_in1_sel;
reg [2:0] dec31_dec_sub4_in1_sel;
(* enum_base_type = "In2Sel" *)
(* enum_value_1100 = "SPR" *)
(* enum_value_1101 = "RS" *)
(* enum_value_1110 = "FRB" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [3:0] dec31_dec_sub4_in2_sel;
reg [3:0] dec31_dec_sub4_in2_sel;
(* enum_base_type = "In3Sel" *)
(* enum_value_010 = "RB" *)
(* enum_value_011 = "FRS" *)
(* enum_value_100 = "FRC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub4_in3_sel;
reg [2:0] dec31_dec_sub4_in3_sel;
(* enum_base_type = "MicrOp" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [6:0] dec31_dec_sub4_internal_op;
reg [6:0] dec31_dec_sub4_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub4_inv_a;
reg dec31_dec_sub4_inv_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub4_inv_out;
reg dec31_dec_sub4_inv_out;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub4_is_32b;
reg dec31_dec_sub4_is_32b;
(* enum_base_type = "LdstLen" *)
(* enum_value_0010 = "is2B" *)
(* enum_value_0100 = "is4B" *)
(* enum_value_1000 = "is8B" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [3:0] dec31_dec_sub4_ldst_len;
reg [3:0] dec31_dec_sub4_ldst_len;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub4_lk;
reg dec31_dec_sub4_lk;
(* enum_base_type = "OutSel" *)
(* enum_value_011 = "SPR" *)
(* enum_value_100 = "RT_OR_ZERO" *)
(* enum_value_101 = "FRT" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub4_out_sel;
reg [2:0] dec31_dec_sub4_out_sel;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] dec31_dec_sub4_rc_sel;
reg [1:0] dec31_dec_sub4_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub4_rsrv;
reg dec31_dec_sub4_rsrv;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub4_sgl_pipe;
reg dec31_dec_sub4_sgl_pipe;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub4_sgn;
reg dec31_dec_sub4_sgn;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub4_sgn_ext;
reg dec31_dec_sub4_sgn_ext;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub4_sv_cr_in;
reg [2:0] dec31_dec_sub4_sv_cr_in;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub4_sv_cr_out;
reg [2:0] dec31_dec_sub4_sv_cr_out;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub4_sv_in1;
reg [2:0] dec31_dec_sub4_sv_in1;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub4_sv_in2;
reg [2:0] dec31_dec_sub4_sv_in2;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub4_sv_in3;
reg [2:0] dec31_dec_sub4_sv_in3;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub4_sv_out;
reg [2:0] dec31_dec_sub4_sv_out;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub4_sv_out2;
reg [2:0] dec31_dec_sub4_sv_out2;
(* enum_base_type = "LDSTMode" *)
(* enum_value_01 = "update" *)
(* enum_value_10 = "cix" *)
(* enum_value_11 = "cx" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] dec31_dec_sub4_upd;
reg [1:0] dec31_dec_sub4_upd;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
input [31:0] opcode_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
wire [4:0] opcode_switch;
always @* begin
if (\initial ) begin end
dec31_dec_sub4_function_unit = 15'h0000;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub4_function_unit = 15'h0080;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub4_function_unit = 15'h0080;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub4_cr_in = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub4_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub4_cr_in = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub4_cr_out = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub4_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub4_cr_out = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub4_sv_in1 = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub4_sv_in1 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub4_sv_in1 = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub4_sv_in2 = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub4_sv_in2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub4_sv_in2 = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub4_sv_in3 = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub4_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub4_sv_in3 = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub4_sv_out = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub4_sv_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub4_sv_out = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub4_sv_out2 = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub4_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub4_sv_out2 = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub4_sv_cr_in = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub4_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub4_sv_cr_in = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub4_sv_cr_out = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub4_sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub4_sv_cr_out = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub4_ldst_len = 4'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub4_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub4_ldst_len = 4'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub4_internal_op = 7'h00;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub4_internal_op = 7'h3f;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub4_internal_op = 7'h3f;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub4_upd = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub4_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub4_upd = 2'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub4_rc_sel = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub4_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub4_rc_sel = 2'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub4_cry_in = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub4_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub4_cry_in = 2'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub4_asmcode = 8'h00;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub4_asmcode = 8'hf4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub4_asmcode = 8'hf8;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub4_inv_a = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub4_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub4_inv_a = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub4_inv_out = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub4_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub4_inv_out = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub4_cry_out = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub4_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub4_cry_out = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub4_br = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub4_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub4_br = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub4_sgn_ext = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub4_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub4_sgn_ext = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub4_rsrv = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub4_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub4_rsrv = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub4_form = 5'h00;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub4_form = 5'h08;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub4_form = 5'h08;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub4_is_32b = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub4_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub4_is_32b = 1'h1;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub4_sgn = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub4_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub4_sgn = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub4_lk = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub4_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub4_lk = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub4_sgl_pipe = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub4_sgl_pipe = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub4_sgl_pipe = 1'h1;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub4_SV_Etype = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub4_SV_Etype = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub4_SV_Etype = 2'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub4_SV_Ptype = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub4_SV_Ptype = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub4_SV_Ptype = 2'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub4_in1_sel = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub4_in1_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub4_in1_sel = 3'h1;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub4_in2_sel = 4'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub4_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub4_in2_sel = 4'h1;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub4_in3_sel = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub4_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub4_in3_sel = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub4_out_sel = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub4_out_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub4_out_sel = 3'h0;
endcase
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "EXTRA2" *)
(* enum_value_10 = "EXTRA3" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] dec31_dec_sub8_SV_Etype;
reg [1:0] dec31_dec_sub8_SV_Etype;
(* enum_base_type = "SVPtype" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "P1" *)
(* enum_value_10 = "P2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] dec31_dec_sub8_SV_Ptype;
reg [1:0] dec31_dec_sub8_SV_Ptype;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [7:0] dec31_dec_sub8_asmcode;
reg [7:0] dec31_dec_sub8_asmcode;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub8_br;
reg dec31_dec_sub8_br;
(* enum_base_type = "CRInSel" *)
(* enum_value_101 = "BC" *)
(* enum_value_110 = "WHOLE_REG" *)
(* enum_value_111 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub8_cr_in;
reg [2:0] dec31_dec_sub8_cr_in;
(* enum_base_type = "CROutSel" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub8_cr_out;
reg [2:0] dec31_dec_sub8_cr_out;
(* enum_base_type = "CryIn" *)
(* enum_value_00 = "ZERO" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "CA" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] dec31_dec_sub8_cry_in;
reg [1:0] dec31_dec_sub8_cry_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub8_cry_out;
reg dec31_dec_sub8_cry_out;
(* enum_base_type = "Form" *)
(* enum_value_11011 = "Z22" *)
(* enum_value_11100 = "Z23" *)
(* enum_value_11101 = "SVL" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [4:0] dec31_dec_sub8_form;
reg [4:0] dec31_dec_sub8_form;
(* enum_base_type = "Function" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [14:0] dec31_dec_sub8_function_unit;
reg [14:0] dec31_dec_sub8_function_unit;
(* enum_base_type = "In1Sel" *)
(* enum_value_100 = "RS" *)
(* enum_value_101 = "FRA" *)
(* enum_value_110 = "FRS" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub8_in1_sel;
reg [2:0] dec31_dec_sub8_in1_sel;
(* enum_base_type = "In2Sel" *)
(* enum_value_1100 = "SPR" *)
(* enum_value_1101 = "RS" *)
(* enum_value_1110 = "FRB" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [3:0] dec31_dec_sub8_in2_sel;
reg [3:0] dec31_dec_sub8_in2_sel;
(* enum_base_type = "In3Sel" *)
(* enum_value_010 = "RB" *)
(* enum_value_011 = "FRS" *)
(* enum_value_100 = "FRC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub8_in3_sel;
reg [2:0] dec31_dec_sub8_in3_sel;
(* enum_base_type = "MicrOp" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [6:0] dec31_dec_sub8_internal_op;
reg [6:0] dec31_dec_sub8_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub8_inv_a;
reg dec31_dec_sub8_inv_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub8_inv_out;
reg dec31_dec_sub8_inv_out;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub8_is_32b;
reg dec31_dec_sub8_is_32b;
(* enum_base_type = "LdstLen" *)
(* enum_value_0010 = "is2B" *)
(* enum_value_0100 = "is4B" *)
(* enum_value_1000 = "is8B" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [3:0] dec31_dec_sub8_ldst_len;
reg [3:0] dec31_dec_sub8_ldst_len;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub8_lk;
reg dec31_dec_sub8_lk;
(* enum_base_type = "OutSel" *)
(* enum_value_011 = "SPR" *)
(* enum_value_100 = "RT_OR_ZERO" *)
(* enum_value_101 = "FRT" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub8_out_sel;
reg [2:0] dec31_dec_sub8_out_sel;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] dec31_dec_sub8_rc_sel;
reg [1:0] dec31_dec_sub8_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub8_rsrv;
reg dec31_dec_sub8_rsrv;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub8_sgl_pipe;
reg dec31_dec_sub8_sgl_pipe;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub8_sgn;
reg dec31_dec_sub8_sgn;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub8_sgn_ext;
reg dec31_dec_sub8_sgn_ext;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub8_sv_cr_in;
reg [2:0] dec31_dec_sub8_sv_cr_in;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub8_sv_cr_out;
reg [2:0] dec31_dec_sub8_sv_cr_out;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub8_sv_in1;
reg [2:0] dec31_dec_sub8_sv_in1;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub8_sv_in2;
reg [2:0] dec31_dec_sub8_sv_in2;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub8_sv_in3;
reg [2:0] dec31_dec_sub8_sv_in3;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub8_sv_out;
reg [2:0] dec31_dec_sub8_sv_out;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub8_sv_out2;
reg [2:0] dec31_dec_sub8_sv_out2;
(* enum_base_type = "LDSTMode" *)
(* enum_value_01 = "update" *)
(* enum_value_10 = "cix" *)
(* enum_value_11 = "cx" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] dec31_dec_sub8_upd;
reg [1:0] dec31_dec_sub8_upd;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
input [31:0] opcode_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
wire [4:0] opcode_switch;
always @* begin
if (\initial ) begin end
dec31_dec_sub8_function_unit = 15'h0000;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub8_function_unit = 15'h0002;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
dec31_dec_sub8_function_unit = 15'h0002;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub8_function_unit = 15'h0002;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
dec31_dec_sub8_function_unit = 15'h0002;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub8_function_unit = 15'h0002;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub8_function_unit = 15'h0002;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub8_function_unit = 15'h0002;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub8_function_unit = 15'h0002;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub8_function_unit = 15'h0002;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub8_function_unit = 15'h0002;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub8_function_unit = 15'h0002;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub8_function_unit = 15'h0002;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub8_cr_in = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub8_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
dec31_dec_sub8_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub8_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
dec31_dec_sub8_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub8_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub8_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub8_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub8_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub8_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub8_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub8_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub8_cr_in = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub8_cr_out = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub8_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
dec31_dec_sub8_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub8_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
dec31_dec_sub8_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub8_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub8_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub8_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub8_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub8_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub8_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub8_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub8_cr_out = 3'h1;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub8_sv_in1 = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub8_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
dec31_dec_sub8_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub8_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
dec31_dec_sub8_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub8_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub8_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub8_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub8_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub8_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub8_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub8_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub8_sv_in1 = 3'h2;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub8_sv_in2 = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub8_sv_in2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
dec31_dec_sub8_sv_in2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub8_sv_in2 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
dec31_dec_sub8_sv_in2 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub8_sv_in2 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub8_sv_in2 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub8_sv_in2 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub8_sv_in2 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub8_sv_in2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub8_sv_in2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub8_sv_in2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub8_sv_in2 = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub8_sv_in3 = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub8_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
dec31_dec_sub8_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub8_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
dec31_dec_sub8_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub8_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub8_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub8_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub8_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub8_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub8_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub8_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub8_sv_in3 = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub8_sv_out = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub8_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
dec31_dec_sub8_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub8_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
dec31_dec_sub8_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub8_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub8_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub8_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub8_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub8_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub8_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub8_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub8_sv_out = 3'h1;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub8_sv_out2 = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub8_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
dec31_dec_sub8_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub8_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
dec31_dec_sub8_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub8_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub8_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub8_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub8_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub8_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub8_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub8_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub8_sv_out2 = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub8_sv_cr_in = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub8_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
dec31_dec_sub8_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub8_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
dec31_dec_sub8_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub8_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub8_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub8_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub8_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub8_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub8_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub8_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub8_sv_cr_in = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub8_sv_cr_out = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub8_sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
dec31_dec_sub8_sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub8_sv_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
dec31_dec_sub8_sv_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub8_sv_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub8_sv_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub8_sv_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub8_sv_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub8_sv_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub8_sv_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub8_sv_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub8_sv_cr_out = 3'h1;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub8_ldst_len = 4'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub8_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
dec31_dec_sub8_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub8_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
dec31_dec_sub8_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub8_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub8_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub8_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub8_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub8_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub8_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub8_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub8_ldst_len = 4'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub8_internal_op = 7'h00;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub8_internal_op = 7'h02;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
dec31_dec_sub8_internal_op = 7'h02;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub8_internal_op = 7'h02;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
dec31_dec_sub8_internal_op = 7'h02;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub8_internal_op = 7'h02;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub8_internal_op = 7'h02;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub8_internal_op = 7'h02;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub8_internal_op = 7'h02;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub8_internal_op = 7'h02;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub8_internal_op = 7'h02;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub8_internal_op = 7'h02;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub8_internal_op = 7'h02;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub8_upd = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub8_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
dec31_dec_sub8_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub8_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
dec31_dec_sub8_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub8_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub8_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub8_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub8_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub8_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub8_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub8_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub8_upd = 2'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub8_rc_sel = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub8_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
dec31_dec_sub8_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub8_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
dec31_dec_sub8_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub8_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub8_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub8_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub8_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub8_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub8_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub8_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub8_rc_sel = 2'h2;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub8_cry_in = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub8_cry_in = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
dec31_dec_sub8_cry_in = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub8_cry_in = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
dec31_dec_sub8_cry_in = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub8_cry_in = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub8_cry_in = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub8_cry_in = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub8_cry_in = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub8_cry_in = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub8_cry_in = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub8_cry_in = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub8_cry_in = 2'h2;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub8_asmcode = 8'h00;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub8_asmcode = 8'ha4;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
dec31_dec_sub8_asmcode = 8'ha5;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub8_asmcode = 8'he8;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
dec31_dec_sub8_asmcode = 8'hf0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub8_asmcode = 8'he9;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub8_asmcode = 8'hea;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub8_asmcode = 8'heb;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub8_asmcode = 8'hec;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub8_asmcode = 8'hee;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub8_asmcode = 8'hef;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub8_asmcode = 8'hf1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub8_asmcode = 8'hf2;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub8_inv_a = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub8_inv_a = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
dec31_dec_sub8_inv_a = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub8_inv_a = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
dec31_dec_sub8_inv_a = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub8_inv_a = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub8_inv_a = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub8_inv_a = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub8_inv_a = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub8_inv_a = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub8_inv_a = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub8_inv_a = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub8_inv_a = 1'h1;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub8_inv_out = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub8_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
dec31_dec_sub8_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub8_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
dec31_dec_sub8_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub8_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub8_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub8_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub8_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub8_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub8_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub8_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub8_inv_out = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub8_cry_out = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub8_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
dec31_dec_sub8_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub8_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
dec31_dec_sub8_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub8_cry_out = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub8_cry_out = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub8_cry_out = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub8_cry_out = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub8_cry_out = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub8_cry_out = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub8_cry_out = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub8_cry_out = 1'h1;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub8_br = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub8_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
dec31_dec_sub8_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub8_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
dec31_dec_sub8_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub8_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub8_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub8_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub8_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub8_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub8_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub8_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub8_br = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub8_sgn_ext = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub8_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
dec31_dec_sub8_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub8_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
dec31_dec_sub8_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub8_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub8_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub8_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub8_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub8_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub8_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub8_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub8_sgn_ext = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub8_rsrv = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub8_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
dec31_dec_sub8_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub8_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
dec31_dec_sub8_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub8_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub8_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub8_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub8_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub8_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub8_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub8_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub8_rsrv = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub8_form = 5'h00;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub8_form = 5'h11;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
dec31_dec_sub8_form = 5'h11;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub8_form = 5'h11;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
dec31_dec_sub8_form = 5'h11;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub8_form = 5'h11;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub8_form = 5'h11;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub8_form = 5'h11;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub8_form = 5'h11;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub8_form = 5'h11;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub8_form = 5'h11;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub8_form = 5'h11;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub8_form = 5'h11;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub8_is_32b = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub8_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
dec31_dec_sub8_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub8_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
dec31_dec_sub8_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub8_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub8_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub8_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub8_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub8_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub8_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub8_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub8_is_32b = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub8_sgn = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub8_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
dec31_dec_sub8_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub8_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
dec31_dec_sub8_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub8_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub8_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub8_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub8_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub8_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub8_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub8_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub8_sgn = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub8_lk = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub8_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
dec31_dec_sub8_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub8_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
dec31_dec_sub8_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub8_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub8_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub8_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub8_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub8_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub8_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub8_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub8_lk = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub8_sgl_pipe = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub8_sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
dec31_dec_sub8_sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub8_sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
dec31_dec_sub8_sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub8_sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub8_sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub8_sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub8_sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub8_sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub8_sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub8_sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub8_sgl_pipe = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub8_SV_Etype = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub8_SV_Etype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
dec31_dec_sub8_SV_Etype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub8_SV_Etype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
dec31_dec_sub8_SV_Etype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub8_SV_Etype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub8_SV_Etype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub8_SV_Etype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub8_SV_Etype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub8_SV_Etype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub8_SV_Etype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub8_SV_Etype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub8_SV_Etype = 2'h2;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub8_SV_Ptype = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub8_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
dec31_dec_sub8_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub8_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
dec31_dec_sub8_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub8_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub8_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub8_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub8_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub8_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub8_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub8_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub8_SV_Ptype = 2'h2;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub8_in1_sel = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub8_in1_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
dec31_dec_sub8_in1_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub8_in1_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
dec31_dec_sub8_in1_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub8_in1_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub8_in1_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub8_in1_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub8_in1_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub8_in1_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub8_in1_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub8_in1_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub8_in1_sel = 3'h1;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub8_in2_sel = 4'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub8_in2_sel = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
dec31_dec_sub8_in2_sel = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub8_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
dec31_dec_sub8_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub8_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub8_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub8_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub8_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub8_in2_sel = 4'h9;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub8_in2_sel = 4'h9;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub8_in2_sel = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub8_in2_sel = 4'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub8_in3_sel = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub8_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
dec31_dec_sub8_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub8_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
dec31_dec_sub8_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub8_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub8_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub8_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub8_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub8_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub8_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub8_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub8_in3_sel = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub8_out_sel = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h03:
dec31_dec_sub8_out_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h13:
dec31_dec_sub8_out_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h01:
dec31_dec_sub8_out_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h11:
dec31_dec_sub8_out_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub8_out_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub8_out_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h04:
dec31_dec_sub8_out_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h14:
dec31_dec_sub8_out_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub8_out_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub8_out_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h06:
dec31_dec_sub8_out_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h16:
dec31_dec_sub8_out_sel = 3'h1;
endcase
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "EXTRA2" *)
(* enum_value_10 = "EXTRA3" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] dec31_dec_sub9_SV_Etype;
reg [1:0] dec31_dec_sub9_SV_Etype;
(* enum_base_type = "SVPtype" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "P1" *)
(* enum_value_10 = "P2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] dec31_dec_sub9_SV_Ptype;
reg [1:0] dec31_dec_sub9_SV_Ptype;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [7:0] dec31_dec_sub9_asmcode;
reg [7:0] dec31_dec_sub9_asmcode;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub9_br;
reg dec31_dec_sub9_br;
(* enum_base_type = "CRInSel" *)
(* enum_value_101 = "BC" *)
(* enum_value_110 = "WHOLE_REG" *)
(* enum_value_111 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub9_cr_in;
reg [2:0] dec31_dec_sub9_cr_in;
(* enum_base_type = "CROutSel" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub9_cr_out;
reg [2:0] dec31_dec_sub9_cr_out;
(* enum_base_type = "CryIn" *)
(* enum_value_00 = "ZERO" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "CA" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] dec31_dec_sub9_cry_in;
reg [1:0] dec31_dec_sub9_cry_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub9_cry_out;
reg dec31_dec_sub9_cry_out;
(* enum_base_type = "Form" *)
(* enum_value_11011 = "Z22" *)
(* enum_value_11100 = "Z23" *)
(* enum_value_11101 = "SVL" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [4:0] dec31_dec_sub9_form;
reg [4:0] dec31_dec_sub9_form;
(* enum_base_type = "Function" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [14:0] dec31_dec_sub9_function_unit;
reg [14:0] dec31_dec_sub9_function_unit;
(* enum_base_type = "In1Sel" *)
(* enum_value_100 = "RS" *)
(* enum_value_101 = "FRA" *)
(* enum_value_110 = "FRS" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub9_in1_sel;
reg [2:0] dec31_dec_sub9_in1_sel;
(* enum_base_type = "In2Sel" *)
(* enum_value_1100 = "SPR" *)
(* enum_value_1101 = "RS" *)
(* enum_value_1110 = "FRB" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [3:0] dec31_dec_sub9_in2_sel;
reg [3:0] dec31_dec_sub9_in2_sel;
(* enum_base_type = "In3Sel" *)
(* enum_value_010 = "RB" *)
(* enum_value_011 = "FRS" *)
(* enum_value_100 = "FRC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub9_in3_sel;
reg [2:0] dec31_dec_sub9_in3_sel;
(* enum_base_type = "MicrOp" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [6:0] dec31_dec_sub9_internal_op;
reg [6:0] dec31_dec_sub9_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub9_inv_a;
reg dec31_dec_sub9_inv_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub9_inv_out;
reg dec31_dec_sub9_inv_out;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub9_is_32b;
reg dec31_dec_sub9_is_32b;
(* enum_base_type = "LdstLen" *)
(* enum_value_0010 = "is2B" *)
(* enum_value_0100 = "is4B" *)
(* enum_value_1000 = "is8B" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [3:0] dec31_dec_sub9_ldst_len;
reg [3:0] dec31_dec_sub9_ldst_len;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub9_lk;
reg dec31_dec_sub9_lk;
(* enum_base_type = "OutSel" *)
(* enum_value_011 = "SPR" *)
(* enum_value_100 = "RT_OR_ZERO" *)
(* enum_value_101 = "FRT" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub9_out_sel;
reg [2:0] dec31_dec_sub9_out_sel;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] dec31_dec_sub9_rc_sel;
reg [1:0] dec31_dec_sub9_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub9_rsrv;
reg dec31_dec_sub9_rsrv;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub9_sgl_pipe;
reg dec31_dec_sub9_sgl_pipe;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub9_sgn;
reg dec31_dec_sub9_sgn;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec31_dec_sub9_sgn_ext;
reg dec31_dec_sub9_sgn_ext;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub9_sv_cr_in;
reg [2:0] dec31_dec_sub9_sv_cr_in;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub9_sv_cr_out;
reg [2:0] dec31_dec_sub9_sv_cr_out;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub9_sv_in1;
reg [2:0] dec31_dec_sub9_sv_in1;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub9_sv_in2;
reg [2:0] dec31_dec_sub9_sv_in2;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub9_sv_in3;
reg [2:0] dec31_dec_sub9_sv_in3;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub9_sv_out;
reg [2:0] dec31_dec_sub9_sv_out;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec31_dec_sub9_sv_out2;
reg [2:0] dec31_dec_sub9_sv_out2;
(* enum_base_type = "LDSTMode" *)
(* enum_value_01 = "update" *)
(* enum_value_10 = "cix" *)
(* enum_value_11 = "cx" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] dec31_dec_sub9_upd;
reg [1:0] dec31_dec_sub9_upd;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
input [31:0] opcode_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
wire [4:0] opcode_switch;
always @* begin
if (\initial ) begin end
dec31_dec_sub9_function_unit = 15'h0000;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub9_function_unit = 15'h0200;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub9_function_unit = 15'h0200;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub9_function_unit = 15'h0200;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub9_function_unit = 15'h0200;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub9_function_unit = 15'h0200;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub9_function_unit = 15'h0200;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub9_function_unit = 15'h0200;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub9_function_unit = 15'h0200;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub9_function_unit = 15'h0200;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub9_function_unit = 15'h0200;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub9_function_unit = 15'h0100;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub9_function_unit = 15'h0100;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub9_function_unit = 15'h0100;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub9_function_unit = 15'h0100;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub9_function_unit = 15'h0100;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub9_function_unit = 15'h0100;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub9_cr_in = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub9_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub9_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub9_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub9_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub9_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub9_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub9_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub9_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub9_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub9_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub9_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub9_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub9_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub9_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub9_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub9_cr_in = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub9_cr_out = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub9_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub9_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub9_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub9_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub9_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub9_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub9_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub9_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub9_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub9_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub9_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub9_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub9_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub9_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub9_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub9_cr_out = 3'h1;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub9_sv_in1 = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub9_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub9_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub9_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub9_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub9_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub9_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub9_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub9_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub9_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub9_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub9_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub9_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub9_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub9_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub9_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub9_sv_in1 = 3'h2;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub9_sv_in2 = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub9_sv_in2 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub9_sv_in2 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub9_sv_in2 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub9_sv_in2 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub9_sv_in2 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub9_sv_in2 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub9_sv_in2 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub9_sv_in2 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub9_sv_in2 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub9_sv_in2 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub9_sv_in2 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub9_sv_in2 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub9_sv_in2 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub9_sv_in2 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub9_sv_in2 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub9_sv_in2 = 3'h3;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub9_sv_in3 = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub9_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub9_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub9_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub9_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub9_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub9_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub9_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub9_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub9_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub9_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub9_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub9_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub9_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub9_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub9_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub9_sv_in3 = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub9_sv_out = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub9_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub9_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub9_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub9_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub9_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub9_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub9_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub9_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub9_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub9_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub9_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub9_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub9_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub9_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub9_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub9_sv_out = 3'h1;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub9_sv_out2 = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub9_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub9_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub9_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub9_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub9_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub9_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub9_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub9_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub9_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub9_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub9_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub9_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub9_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub9_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub9_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub9_sv_out2 = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub9_sv_cr_in = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub9_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub9_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub9_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub9_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub9_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub9_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub9_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub9_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub9_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub9_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub9_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub9_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub9_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub9_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub9_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub9_sv_cr_in = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub9_sv_cr_out = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub9_sv_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub9_sv_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub9_sv_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub9_sv_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub9_sv_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub9_sv_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub9_sv_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub9_sv_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub9_sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub9_sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub9_sv_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub9_sv_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub9_sv_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub9_sv_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub9_sv_cr_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub9_sv_cr_out = 3'h1;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub9_ldst_len = 4'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub9_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub9_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub9_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub9_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub9_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub9_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub9_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub9_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub9_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub9_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub9_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub9_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub9_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub9_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub9_ldst_len = 4'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub9_ldst_len = 4'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub9_internal_op = 7'h00;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub9_internal_op = 7'h1e;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub9_internal_op = 7'h1e;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub9_internal_op = 7'h1e;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub9_internal_op = 7'h1e;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub9_internal_op = 7'h1d;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub9_internal_op = 7'h1d;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub9_internal_op = 7'h1d;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub9_internal_op = 7'h1d;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub9_internal_op = 7'h2f;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub9_internal_op = 7'h2f;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub9_internal_op = 7'h33;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub9_internal_op = 7'h33;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub9_internal_op = 7'h33;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub9_internal_op = 7'h33;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub9_internal_op = 7'h32;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub9_internal_op = 7'h32;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub9_upd = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub9_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub9_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub9_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub9_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub9_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub9_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub9_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub9_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub9_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub9_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub9_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub9_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub9_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub9_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub9_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub9_upd = 2'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub9_rc_sel = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub9_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub9_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub9_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub9_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub9_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub9_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub9_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub9_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub9_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub9_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub9_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub9_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub9_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub9_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub9_rc_sel = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub9_rc_sel = 2'h2;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub9_cry_in = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub9_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub9_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub9_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub9_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub9_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub9_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub9_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub9_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub9_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub9_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub9_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub9_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub9_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub9_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub9_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub9_cry_in = 2'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub9_asmcode = 8'h00;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub9_asmcode = 8'h36;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub9_asmcode = 8'h37;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub9_asmcode = 8'h34;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub9_asmcode = 8'h35;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub9_asmcode = 8'h39;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub9_asmcode = 8'h3a;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub9_asmcode = 8'h33;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub9_asmcode = 8'h38;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub9_asmcode = 8'h94;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub9_asmcode = 8'h92;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub9_asmcode = 8'h9a;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub9_asmcode = 8'h9b;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub9_asmcode = 8'h9a;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub9_asmcode = 8'h9b;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub9_asmcode = 8'h9e;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub9_asmcode = 8'h9f;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub9_inv_a = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub9_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub9_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub9_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub9_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub9_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub9_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub9_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub9_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub9_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub9_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub9_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub9_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub9_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub9_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub9_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub9_inv_a = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub9_inv_out = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub9_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub9_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub9_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub9_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub9_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub9_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub9_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub9_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub9_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub9_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub9_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub9_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub9_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub9_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub9_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub9_inv_out = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub9_cry_out = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub9_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub9_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub9_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub9_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub9_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub9_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub9_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub9_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub9_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub9_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub9_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub9_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub9_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub9_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub9_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub9_cry_out = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub9_br = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub9_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub9_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub9_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub9_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub9_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub9_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub9_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub9_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub9_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub9_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub9_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub9_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub9_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub9_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub9_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub9_br = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub9_sgn_ext = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub9_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub9_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub9_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub9_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub9_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub9_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub9_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub9_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub9_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub9_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub9_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub9_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub9_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub9_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub9_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub9_sgn_ext = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub9_rsrv = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub9_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub9_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub9_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub9_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub9_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub9_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub9_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub9_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub9_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub9_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub9_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub9_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub9_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub9_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub9_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub9_rsrv = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub9_form = 5'h00;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub9_form = 5'h11;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub9_form = 5'h11;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub9_form = 5'h11;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub9_form = 5'h11;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub9_form = 5'h11;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub9_form = 5'h11;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub9_form = 5'h11;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub9_form = 5'h11;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub9_form = 5'h08;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub9_form = 5'h08;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub9_form = 5'h11;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub9_form = 5'h11;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub9_form = 5'h11;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub9_form = 5'h11;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub9_form = 5'h11;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub9_form = 5'h11;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub9_is_32b = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub9_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub9_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub9_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub9_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub9_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub9_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub9_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub9_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub9_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub9_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub9_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub9_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub9_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub9_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub9_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub9_is_32b = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub9_sgn = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub9_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub9_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub9_sgn = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub9_sgn = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub9_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub9_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub9_sgn = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub9_sgn = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub9_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub9_sgn = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub9_sgn = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub9_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub9_sgn = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub9_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub9_sgn = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub9_sgn = 1'h1;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub9_lk = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub9_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub9_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub9_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub9_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub9_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub9_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub9_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub9_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub9_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub9_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub9_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub9_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub9_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub9_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub9_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub9_lk = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub9_sgl_pipe = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub9_sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub9_sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub9_sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub9_sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub9_sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub9_sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub9_sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub9_sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub9_sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub9_sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub9_sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub9_sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub9_sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub9_sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub9_sgl_pipe = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub9_sgl_pipe = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub9_SV_Etype = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub9_SV_Etype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub9_SV_Etype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub9_SV_Etype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub9_SV_Etype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub9_SV_Etype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub9_SV_Etype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub9_SV_Etype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub9_SV_Etype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub9_SV_Etype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub9_SV_Etype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub9_SV_Etype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub9_SV_Etype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub9_SV_Etype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub9_SV_Etype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub9_SV_Etype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub9_SV_Etype = 2'h2;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub9_SV_Ptype = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub9_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub9_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub9_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub9_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub9_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub9_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub9_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub9_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub9_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub9_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub9_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub9_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub9_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub9_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub9_SV_Ptype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub9_SV_Ptype = 2'h1;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub9_in1_sel = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub9_in1_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub9_in1_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub9_in1_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub9_in1_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub9_in1_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub9_in1_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub9_in1_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub9_in1_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub9_in1_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub9_in1_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub9_in1_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub9_in1_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub9_in1_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub9_in1_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub9_in1_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub9_in1_sel = 3'h1;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub9_in2_sel = 4'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub9_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub9_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub9_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub9_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub9_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub9_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub9_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub9_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub9_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub9_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub9_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub9_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub9_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub9_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub9_in2_sel = 4'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub9_in2_sel = 4'h1;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub9_in3_sel = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub9_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub9_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub9_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub9_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub9_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub9_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub9_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub9_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub9_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub9_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub9_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub9_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub9_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub9_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub9_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub9_in3_sel = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec31_dec_sub9_out_sel = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0c:
dec31_dec_sub9_out_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1c:
dec31_dec_sub9_out_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0d:
dec31_dec_sub9_out_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1d:
dec31_dec_sub9_out_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0e:
dec31_dec_sub9_out_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1e:
dec31_dec_sub9_out_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h0f:
dec31_dec_sub9_out_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h1f:
dec31_dec_sub9_out_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h08:
dec31_dec_sub9_out_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h18:
dec31_dec_sub9_out_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h02:
dec31_dec_sub9_out_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h00:
dec31_dec_sub9_out_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h12:
dec31_dec_sub9_out_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h10:
dec31_dec_sub9_out_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h07:
dec31_dec_sub9_out_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
5'h17:
dec31_dec_sub9_out_sel = 3'h1;
endcase
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "EXTRA2" *)
(* enum_value_10 = "EXTRA3" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] dec58_SV_Etype;
reg [1:0] dec58_SV_Etype;
(* enum_base_type = "SVPtype" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "P1" *)
(* enum_value_10 = "P2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] dec58_SV_Ptype;
reg [1:0] dec58_SV_Ptype;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [7:0] dec58_asmcode;
reg [7:0] dec58_asmcode;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec58_br;
reg dec58_br;
(* enum_base_type = "CRInSel" *)
(* enum_value_101 = "BC" *)
(* enum_value_110 = "WHOLE_REG" *)
(* enum_value_111 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec58_cr_in;
reg [2:0] dec58_cr_in;
(* enum_base_type = "CROutSel" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec58_cr_out;
reg [2:0] dec58_cr_out;
(* enum_base_type = "CryIn" *)
(* enum_value_00 = "ZERO" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "CA" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] dec58_cry_in;
reg [1:0] dec58_cry_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec58_cry_out;
reg dec58_cry_out;
(* enum_base_type = "Form" *)
(* enum_value_11011 = "Z22" *)
(* enum_value_11100 = "Z23" *)
(* enum_value_11101 = "SVL" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [4:0] dec58_form;
reg [4:0] dec58_form;
(* enum_base_type = "Function" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [14:0] dec58_function_unit;
reg [14:0] dec58_function_unit;
(* enum_base_type = "In1Sel" *)
(* enum_value_100 = "RS" *)
(* enum_value_101 = "FRA" *)
(* enum_value_110 = "FRS" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec58_in1_sel;
reg [2:0] dec58_in1_sel;
(* enum_base_type = "In2Sel" *)
(* enum_value_1100 = "SPR" *)
(* enum_value_1101 = "RS" *)
(* enum_value_1110 = "FRB" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [3:0] dec58_in2_sel;
reg [3:0] dec58_in2_sel;
(* enum_base_type = "In3Sel" *)
(* enum_value_010 = "RB" *)
(* enum_value_011 = "FRS" *)
(* enum_value_100 = "FRC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec58_in3_sel;
reg [2:0] dec58_in3_sel;
(* enum_base_type = "MicrOp" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [6:0] dec58_internal_op;
reg [6:0] dec58_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec58_inv_a;
reg dec58_inv_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec58_inv_out;
reg dec58_inv_out;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec58_is_32b;
reg dec58_is_32b;
(* enum_base_type = "LdstLen" *)
(* enum_value_0010 = "is2B" *)
(* enum_value_0100 = "is4B" *)
(* enum_value_1000 = "is8B" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [3:0] dec58_ldst_len;
reg [3:0] dec58_ldst_len;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec58_lk;
reg dec58_lk;
(* enum_base_type = "OutSel" *)
(* enum_value_011 = "SPR" *)
(* enum_value_100 = "RT_OR_ZERO" *)
(* enum_value_101 = "FRT" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec58_out_sel;
reg [2:0] dec58_out_sel;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] dec58_rc_sel;
reg [1:0] dec58_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec58_rsrv;
reg dec58_rsrv;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec58_sgl_pipe;
reg dec58_sgl_pipe;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec58_sgn;
reg dec58_sgn;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec58_sgn_ext;
reg dec58_sgn_ext;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec58_sv_cr_in;
reg [2:0] dec58_sv_cr_in;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec58_sv_cr_out;
reg [2:0] dec58_sv_cr_out;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec58_sv_in1;
reg [2:0] dec58_sv_in1;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec58_sv_in2;
reg [2:0] dec58_sv_in2;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec58_sv_in3;
reg [2:0] dec58_sv_in3;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec58_sv_out;
reg [2:0] dec58_sv_out;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec58_sv_out2;
reg [2:0] dec58_sv_out2;
(* enum_base_type = "LDSTMode" *)
(* enum_value_01 = "update" *)
(* enum_value_10 = "cix" *)
(* enum_value_11 = "cx" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] dec58_upd;
reg [1:0] dec58_upd;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
input [31:0] opcode_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
wire [1:0] opcode_switch;
always @* begin
if (\initial ) begin end
dec58_function_unit = 15'h0000;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h0:
dec58_function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h1:
dec58_function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h2:
dec58_function_unit = 15'h0004;
endcase
always @* begin
if (\initial ) begin end
dec58_cr_in = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h0:
dec58_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h1:
dec58_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h2:
dec58_cr_in = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec58_cr_out = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h0:
dec58_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h1:
dec58_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h2:
dec58_cr_out = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec58_sv_in1 = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h0:
dec58_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h1:
dec58_sv_in1 = 3'h3;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h2:
dec58_sv_in1 = 3'h2;
endcase
always @* begin
if (\initial ) begin end
dec58_sv_in2 = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h0:
dec58_sv_in2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h1:
dec58_sv_in2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h2:
dec58_sv_in2 = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec58_sv_in3 = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h0:
dec58_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h1:
dec58_sv_in3 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h2:
dec58_sv_in3 = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec58_sv_out = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h0:
dec58_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h1:
dec58_sv_out = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h2:
dec58_sv_out = 3'h1;
endcase
always @* begin
if (\initial ) begin end
dec58_sv_out2 = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h0:
dec58_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h1:
dec58_sv_out2 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h2:
dec58_sv_out2 = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec58_sv_cr_in = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h0:
dec58_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h1:
dec58_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h2:
dec58_sv_cr_in = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec58_sv_cr_out = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h0:
dec58_sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h1:
dec58_sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h2:
dec58_sv_cr_out = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec58_ldst_len = 4'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h0:
dec58_ldst_len = 4'h8;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h1:
dec58_ldst_len = 4'h8;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h2:
dec58_ldst_len = 4'h4;
endcase
always @* begin
if (\initial ) begin end
dec58_internal_op = 7'h00;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h0:
dec58_internal_op = 7'h25;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h1:
dec58_internal_op = 7'h25;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h2:
dec58_internal_op = 7'h25;
endcase
always @* begin
if (\initial ) begin end
dec58_upd = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h0:
dec58_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h1:
dec58_upd = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h2:
dec58_upd = 2'h0;
endcase
always @* begin
if (\initial ) begin end
dec58_rc_sel = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h0:
dec58_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h1:
dec58_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h2:
dec58_rc_sel = 2'h0;
endcase
always @* begin
if (\initial ) begin end
dec58_cry_in = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h0:
dec58_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h1:
dec58_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h2:
dec58_cry_in = 2'h0;
endcase
always @* begin
if (\initial ) begin end
dec58_asmcode = 8'h00;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h0:
dec58_asmcode = 8'h68;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h1:
dec58_asmcode = 8'h6b;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h2:
dec58_asmcode = 8'h82;
endcase
always @* begin
if (\initial ) begin end
dec58_inv_a = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h0:
dec58_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h1:
dec58_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h2:
dec58_inv_a = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec58_inv_out = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h0:
dec58_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h1:
dec58_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h2:
dec58_inv_out = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec58_cry_out = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h0:
dec58_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h1:
dec58_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h2:
dec58_cry_out = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec58_br = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h0:
dec58_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h1:
dec58_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h2:
dec58_br = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec58_sgn_ext = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h0:
dec58_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h1:
dec58_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h2:
dec58_sgn_ext = 1'h1;
endcase
always @* begin
if (\initial ) begin end
dec58_rsrv = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h0:
dec58_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h1:
dec58_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h2:
dec58_rsrv = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec58_form = 5'h00;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h0:
dec58_form = 5'h05;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h1:
dec58_form = 5'h05;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h2:
dec58_form = 5'h05;
endcase
always @* begin
if (\initial ) begin end
dec58_is_32b = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h0:
dec58_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h1:
dec58_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h2:
dec58_is_32b = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec58_sgn = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h0:
dec58_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h1:
dec58_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h2:
dec58_sgn = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec58_lk = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h0:
dec58_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h1:
dec58_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h2:
dec58_lk = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec58_sgl_pipe = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h0:
dec58_sgl_pipe = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h1:
dec58_sgl_pipe = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h2:
dec58_sgl_pipe = 1'h1;
endcase
always @* begin
if (\initial ) begin end
dec58_SV_Etype = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h0:
dec58_SV_Etype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h1:
dec58_SV_Etype = 2'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h2:
dec58_SV_Etype = 2'h2;
endcase
always @* begin
if (\initial ) begin end
dec58_SV_Ptype = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h0:
dec58_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h1:
dec58_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h2:
dec58_SV_Ptype = 2'h2;
endcase
always @* begin
if (\initial ) begin end
dec58_in1_sel = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h0:
dec58_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h1:
dec58_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h2:
dec58_in1_sel = 3'h2;
endcase
always @* begin
if (\initial ) begin end
dec58_in2_sel = 4'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h0:
dec58_in2_sel = 4'h8;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h1:
dec58_in2_sel = 4'h8;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h2:
dec58_in2_sel = 4'h8;
endcase
always @* begin
if (\initial ) begin end
dec58_in3_sel = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h0:
dec58_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h1:
dec58_in3_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h2:
dec58_in3_sel = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec58_out_sel = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h0:
dec58_out_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h1:
dec58_out_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h2:
dec58_out_sel = 3'h1;
endcase
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "EXTRA2" *)
(* enum_value_10 = "EXTRA3" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] dec62_SV_Etype;
reg [1:0] dec62_SV_Etype;
(* enum_base_type = "SVPtype" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "P1" *)
(* enum_value_10 = "P2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] dec62_SV_Ptype;
reg [1:0] dec62_SV_Ptype;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [7:0] dec62_asmcode;
reg [7:0] dec62_asmcode;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec62_br;
reg dec62_br;
(* enum_base_type = "CRInSel" *)
(* enum_value_101 = "BC" *)
(* enum_value_110 = "WHOLE_REG" *)
(* enum_value_111 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec62_cr_in;
reg [2:0] dec62_cr_in;
(* enum_base_type = "CROutSel" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec62_cr_out;
reg [2:0] dec62_cr_out;
(* enum_base_type = "CryIn" *)
(* enum_value_00 = "ZERO" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "CA" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] dec62_cry_in;
reg [1:0] dec62_cry_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec62_cry_out;
reg dec62_cry_out;
(* enum_base_type = "Form" *)
(* enum_value_11011 = "Z22" *)
(* enum_value_11100 = "Z23" *)
(* enum_value_11101 = "SVL" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [4:0] dec62_form;
reg [4:0] dec62_form;
(* enum_base_type = "Function" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [14:0] dec62_function_unit;
reg [14:0] dec62_function_unit;
(* enum_base_type = "In1Sel" *)
(* enum_value_100 = "RS" *)
(* enum_value_101 = "FRA" *)
(* enum_value_110 = "FRS" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec62_in1_sel;
reg [2:0] dec62_in1_sel;
(* enum_base_type = "In2Sel" *)
(* enum_value_1100 = "SPR" *)
(* enum_value_1101 = "RS" *)
(* enum_value_1110 = "FRB" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [3:0] dec62_in2_sel;
reg [3:0] dec62_in2_sel;
(* enum_base_type = "In3Sel" *)
(* enum_value_010 = "RB" *)
(* enum_value_011 = "FRS" *)
(* enum_value_100 = "FRC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec62_in3_sel;
reg [2:0] dec62_in3_sel;
(* enum_base_type = "MicrOp" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [6:0] dec62_internal_op;
reg [6:0] dec62_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec62_inv_a;
reg dec62_inv_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec62_inv_out;
reg dec62_inv_out;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec62_is_32b;
reg dec62_is_32b;
(* enum_base_type = "LdstLen" *)
(* enum_value_0010 = "is2B" *)
(* enum_value_0100 = "is4B" *)
(* enum_value_1000 = "is8B" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [3:0] dec62_ldst_len;
reg [3:0] dec62_ldst_len;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec62_lk;
reg dec62_lk;
(* enum_base_type = "OutSel" *)
(* enum_value_011 = "SPR" *)
(* enum_value_100 = "RT_OR_ZERO" *)
(* enum_value_101 = "FRT" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec62_out_sel;
reg [2:0] dec62_out_sel;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] dec62_rc_sel;
reg [1:0] dec62_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec62_rsrv;
reg dec62_rsrv;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec62_sgl_pipe;
reg dec62_sgl_pipe;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec62_sgn;
reg dec62_sgn;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
output dec62_sgn_ext;
reg dec62_sgn_ext;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec62_sv_cr_in;
reg [2:0] dec62_sv_cr_in;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec62_sv_cr_out;
reg [2:0] dec62_sv_cr_out;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec62_sv_in1;
reg [2:0] dec62_sv_in1;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec62_sv_in2;
reg [2:0] dec62_sv_in2;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec62_sv_in3;
reg [2:0] dec62_sv_in3;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec62_sv_out;
reg [2:0] dec62_sv_out;
(* enum_base_type = "SVEXTRA" *)
(* enum_value_011 = "Idx2" *)
(* enum_value_100 = "Idx3" *)
(* enum_value_101 = "Idx_1_2" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [2:0] dec62_sv_out2;
reg [2:0] dec62_sv_out2;
(* enum_base_type = "LDSTMode" *)
(* enum_value_01 = "update" *)
(* enum_value_10 = "cix" *)
(* enum_value_11 = "cx" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
output [1:0] dec62_upd;
reg [1:0] dec62_upd;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
input [31:0] opcode_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
wire [1:0] opcode_switch;
always @* begin
if (\initial ) begin end
dec62_function_unit = 15'h0000;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h0:
dec62_function_unit = 15'h0004;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h1:
dec62_function_unit = 15'h0004;
endcase
always @* begin
if (\initial ) begin end
dec62_cr_in = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h0:
dec62_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h1:
dec62_cr_in = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec62_cr_out = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h0:
dec62_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h1:
dec62_cr_out = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec62_sv_in1 = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h0:
dec62_sv_in1 = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h1:
dec62_sv_in1 = 3'h3;
endcase
always @* begin
if (\initial ) begin end
dec62_sv_in2 = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h0:
dec62_sv_in2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h1:
dec62_sv_in2 = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec62_sv_in3 = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h0:
dec62_sv_in3 = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h1:
dec62_sv_in3 = 3'h2;
endcase
always @* begin
if (\initial ) begin end
dec62_sv_out = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h0:
dec62_sv_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h1:
dec62_sv_out = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec62_sv_out2 = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h0:
dec62_sv_out2 = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h1:
dec62_sv_out2 = 3'h1;
endcase
always @* begin
if (\initial ) begin end
dec62_sv_cr_in = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h0:
dec62_sv_cr_in = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h1:
dec62_sv_cr_in = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec62_sv_cr_out = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h0:
dec62_sv_cr_out = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h1:
dec62_sv_cr_out = 3'h0;
endcase
always @* begin
if (\initial ) begin end
dec62_ldst_len = 4'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h0:
dec62_ldst_len = 4'h8;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h1:
dec62_ldst_len = 4'h8;
endcase
always @* begin
if (\initial ) begin end
dec62_internal_op = 7'h00;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h0:
dec62_internal_op = 7'h26;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h1:
dec62_internal_op = 7'h26;
endcase
always @* begin
if (\initial ) begin end
dec62_upd = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h0:
dec62_upd = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h1:
dec62_upd = 2'h1;
endcase
always @* begin
if (\initial ) begin end
dec62_rc_sel = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h0:
dec62_rc_sel = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h1:
dec62_rc_sel = 2'h0;
endcase
always @* begin
if (\initial ) begin end
dec62_cry_in = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h0:
dec62_cry_in = 2'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h1:
dec62_cry_in = 2'h0;
endcase
always @* begin
if (\initial ) begin end
dec62_asmcode = 8'h00;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h0:
dec62_asmcode = 8'hcd;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h1:
dec62_asmcode = 8'hd0;
endcase
always @* begin
if (\initial ) begin end
dec62_inv_a = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h0:
dec62_inv_a = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h1:
dec62_inv_a = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec62_inv_out = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h0:
dec62_inv_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h1:
dec62_inv_out = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec62_cry_out = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h0:
dec62_cry_out = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h1:
dec62_cry_out = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec62_br = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h0:
dec62_br = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h1:
dec62_br = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec62_sgn_ext = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h0:
dec62_sgn_ext = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h1:
dec62_sgn_ext = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec62_rsrv = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h0:
dec62_rsrv = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h1:
dec62_rsrv = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec62_form = 5'h00;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h0:
dec62_form = 5'h05;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h1:
dec62_form = 5'h05;
endcase
always @* begin
if (\initial ) begin end
dec62_is_32b = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h0:
dec62_is_32b = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h1:
dec62_is_32b = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec62_sgn = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h0:
dec62_sgn = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h1:
dec62_sgn = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec62_lk = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h0:
dec62_lk = 1'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h1:
dec62_lk = 1'h0;
endcase
always @* begin
if (\initial ) begin end
dec62_sgl_pipe = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h0:
dec62_sgl_pipe = 1'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h1:
dec62_sgl_pipe = 1'h1;
endcase
always @* begin
if (\initial ) begin end
dec62_SV_Etype = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h0:
dec62_SV_Etype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h1:
dec62_SV_Etype = 2'h1;
endcase
always @* begin
if (\initial ) begin end
dec62_SV_Ptype = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h0:
dec62_SV_Ptype = 2'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h1:
dec62_SV_Ptype = 2'h2;
endcase
always @* begin
if (\initial ) begin end
dec62_in1_sel = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h0:
dec62_in1_sel = 3'h2;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h1:
dec62_in1_sel = 3'h2;
endcase
always @* begin
if (\initial ) begin end
dec62_in2_sel = 4'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h0:
dec62_in2_sel = 4'h8;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h1:
dec62_in2_sel = 4'h8;
endcase
always @* begin
if (\initial ) begin end
dec62_in3_sel = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h0:
dec62_in3_sel = 3'h1;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h1:
dec62_in3_sel = 3'h1;
endcase
always @* begin
if (\initial ) begin end
dec62_out_sel = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
casez (opcode_switch)
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h0:
dec62_out_sel = 3'h0;
- /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+ /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
2'h1:
dec62_out_sel = 3'h0;
endcase
reg ALU__write_cr0;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/base_input_record.py:22" *)
output ALU__zero_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:479" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:481" *)
input bigendian;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [13:0] dec_ALU_BD;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [13:0] dec_ALU_DS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [23:0] dec_ALU_LI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire dec_ALU_OE;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] dec_ALU_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire dec_ALU_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] dec_ALU_SH32;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [15:0] dec_ALU_SI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [9:0] dec_ALU_SPR;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [15:0] dec_ALU_UI;
(* enum_base_type = "CROutSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec_ALU_cr_out;
(* enum_base_type = "CryIn" *)
(* enum_value_00 = "ZERO" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "CA" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec_ALU_cry_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec_ALU_cry_out;
(* enum_base_type = "Function" *)
(* enum_value_000000000000000 = "NONE" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [14:0] dec_ALU_function_unit;
(* enum_base_type = "In1Sel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_100 = "RS" *)
(* enum_value_101 = "FRA" *)
(* enum_value_110 = "FRS" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec_ALU_in1_sel;
(* enum_base_type = "In2Sel" *)
(* enum_value_0000 = "NONE" *)
(* enum_value_1100 = "SPR" *)
(* enum_value_1101 = "RS" *)
(* enum_value_1110 = "FRB" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [3:0] dec_ALU_in2_sel;
(* enum_base_type = "MicrOp" *)
(* enum_value_0000000 = "OP_ILLEGAL" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [6:0] dec_ALU_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec_ALU_inv_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec_ALU_inv_out;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec_ALU_is_32b;
(* enum_base_type = "LdstLen" *)
(* enum_value_0000 = "NONE" *)
(* enum_value_0010 = "is2B" *)
(* enum_value_0100 = "is4B" *)
(* enum_value_1000 = "is8B" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [3:0] dec_ALU_ldst_len;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec_ALU_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec_ALU_sgn;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [5:0] dec_ALU_sh;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:193" *)
wire dec_ai_immz_out;
wire [2:0] dec_ai_sel_in;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:194" *)
wire dec_ai_sv_nz;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [63:0] dec_bi_imm_b;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire dec_bi_imm_b_ok;
(* enum_base_type = "In2Sel" *)
(* enum_value_0000 = "NONE" *)
(* enum_value_1110 = "FRB" *)
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:268" *)
wire [3:0] dec_bi_sel_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire dec_oe_oe;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire dec_oe_oe_ok;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_10 = "RC" *)
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:527" *)
wire [1:0] dec_oe_sel_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
wire [31:0] dec_opcode_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire dec_rc_rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire dec_rc_rc_ok;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
wire is_mmu_spr;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:883" *)
wire is_spr_mv;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:478" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:480" *)
input [31:0] raw_opcode_in;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:878" *)
wire [9:0] spr;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/base_input_record.py:22" *)
output BRANCH__lk;
reg BRANCH__lk;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:479" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:481" *)
input bigendian;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/state.py:16" *)
input [63:0] core_pc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [13:0] dec_BRANCH_BD;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [13:0] dec_BRANCH_DS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [23:0] dec_BRANCH_LI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire dec_BRANCH_LK;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire dec_BRANCH_OE;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire dec_BRANCH_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] dec_BRANCH_SH32;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [15:0] dec_BRANCH_SI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [9:0] dec_BRANCH_SPR;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [15:0] dec_BRANCH_UI;
(* enum_base_type = "CROutSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec_BRANCH_cr_out;
(* enum_base_type = "Function" *)
(* enum_value_000000000000000 = "NONE" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [14:0] dec_BRANCH_function_unit;
(* enum_base_type = "In2Sel" *)
(* enum_value_0000 = "NONE" *)
(* enum_value_1100 = "SPR" *)
(* enum_value_1101 = "RS" *)
(* enum_value_1110 = "FRB" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [3:0] dec_BRANCH_in2_sel;
(* enum_base_type = "MicrOp" *)
(* enum_value_0000000 = "OP_ILLEGAL" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [6:0] dec_BRANCH_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec_BRANCH_is_32b;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec_BRANCH_lk;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec_BRANCH_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [5:0] dec_BRANCH_sh;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [63:0] dec_bi_imm_b;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire dec_bi_imm_b_ok;
(* enum_base_type = "In2Sel" *)
(* enum_value_0000 = "NONE" *)
(* enum_value_10 = "RC" *)
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:527" *)
wire [1:0] dec_oe_sel_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
wire [31:0] dec_opcode_in;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
wire is_mmu_spr;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:883" *)
wire is_spr_mv;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:478" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:480" *)
input [31:0] raw_opcode_in;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:878" *)
wire [9:0] spr;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/base_input_record.py:22" *)
output [6:0] CR__insn_type;
reg [6:0] CR__insn_type;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:479" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:481" *)
input bigendian;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire dec_CR_OE;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire dec_CR_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [9:0] dec_CR_SPR;
(* enum_base_type = "CROutSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec_CR_cr_out;
(* enum_base_type = "Function" *)
(* enum_value_000000000000000 = "NONE" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [14:0] dec_CR_function_unit;
(* enum_base_type = "MicrOp" *)
(* enum_value_0000000 = "OP_ILLEGAL" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [6:0] dec_CR_internal_op;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec_CR_rc_sel;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_10 = "RC" *)
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:527" *)
wire [1:0] dec_oe_sel_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
wire [31:0] dec_opcode_in;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
wire is_mmu_spr;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:883" *)
wire is_spr_mv;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:478" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:480" *)
input [31:0] raw_opcode_in;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:878" *)
wire [9:0] spr;
reg DIV__write_cr0;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/base_input_record.py:22" *)
output DIV__zero_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:479" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:481" *)
input bigendian;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [13:0] dec_DIV_BD;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [13:0] dec_DIV_DS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [23:0] dec_DIV_LI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire dec_DIV_OE;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] dec_DIV_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire dec_DIV_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] dec_DIV_SH32;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [15:0] dec_DIV_SI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [9:0] dec_DIV_SPR;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [15:0] dec_DIV_UI;
(* enum_base_type = "CROutSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec_DIV_cr_out;
(* enum_base_type = "CryIn" *)
(* enum_value_00 = "ZERO" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "CA" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec_DIV_cry_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec_DIV_cry_out;
(* enum_base_type = "Function" *)
(* enum_value_000000000000000 = "NONE" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [14:0] dec_DIV_function_unit;
(* enum_base_type = "In1Sel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_100 = "RS" *)
(* enum_value_101 = "FRA" *)
(* enum_value_110 = "FRS" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec_DIV_in1_sel;
(* enum_base_type = "In2Sel" *)
(* enum_value_0000 = "NONE" *)
(* enum_value_1100 = "SPR" *)
(* enum_value_1101 = "RS" *)
(* enum_value_1110 = "FRB" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [3:0] dec_DIV_in2_sel;
(* enum_base_type = "MicrOp" *)
(* enum_value_0000000 = "OP_ILLEGAL" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [6:0] dec_DIV_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec_DIV_inv_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec_DIV_inv_out;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec_DIV_is_32b;
(* enum_base_type = "LdstLen" *)
(* enum_value_0000 = "NONE" *)
(* enum_value_0010 = "is2B" *)
(* enum_value_0100 = "is4B" *)
(* enum_value_1000 = "is8B" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [3:0] dec_DIV_ldst_len;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec_DIV_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec_DIV_sgn;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [5:0] dec_DIV_sh;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:193" *)
wire dec_ai_immz_out;
wire [2:0] dec_ai_sel_in;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:194" *)
wire dec_ai_sv_nz;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [63:0] dec_bi_imm_b;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire dec_bi_imm_b_ok;
(* enum_base_type = "In2Sel" *)
(* enum_value_0000 = "NONE" *)
(* enum_value_1110 = "FRB" *)
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:268" *)
wire [3:0] dec_bi_sel_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire dec_oe_oe;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire dec_oe_oe_ok;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_10 = "RC" *)
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:527" *)
wire [1:0] dec_oe_sel_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
wire [31:0] dec_opcode_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire dec_rc_rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire dec_rc_rc_ok;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
wire is_mmu_spr;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:883" *)
wire is_spr_mv;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:478" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:480" *)
input [31:0] raw_opcode_in;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:878" *)
wire [9:0] spr;
output LDST__sign_extend;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/base_input_record.py:22" *)
output LDST__zero_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:479" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:481" *)
input bigendian;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/state.py:17" *)
input [63:0] core_msr;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [13:0] dec_LDST_BD;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [13:0] dec_LDST_DS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [23:0] dec_LDST_LI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire dec_LDST_OE;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] dec_LDST_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire dec_LDST_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] dec_LDST_SH32;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [15:0] dec_LDST_SI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [9:0] dec_LDST_SPR;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [15:0] dec_LDST_UI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec_LDST_br;
(* enum_base_type = "CROutSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec_LDST_cr_out;
(* enum_base_type = "Function" *)
(* enum_value_000000000000000 = "NONE" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [14:0] dec_LDST_function_unit;
(* enum_base_type = "In1Sel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_100 = "RS" *)
(* enum_value_101 = "FRA" *)
(* enum_value_110 = "FRS" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec_LDST_in1_sel;
(* enum_base_type = "In2Sel" *)
(* enum_value_0000 = "NONE" *)
(* enum_value_1100 = "SPR" *)
(* enum_value_1101 = "RS" *)
(* enum_value_1110 = "FRB" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [3:0] dec_LDST_in2_sel;
(* enum_base_type = "MicrOp" *)
(* enum_value_0000000 = "OP_ILLEGAL" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [6:0] dec_LDST_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec_LDST_is_32b;
(* enum_base_type = "LdstLen" *)
(* enum_value_0000 = "NONE" *)
(* enum_value_0010 = "is2B" *)
(* enum_value_0100 = "is4B" *)
(* enum_value_1000 = "is8B" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [3:0] dec_LDST_ldst_len;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec_LDST_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec_LDST_sgn;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec_LDST_sgn_ext;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [5:0] dec_LDST_sh;
(* enum_base_type = "LDSTMode" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "update" *)
(* enum_value_10 = "cix" *)
(* enum_value_11 = "cx" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec_LDST_upd;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:193" *)
wire dec_ai_immz_out;
wire [2:0] dec_ai_sel_in;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:194" *)
wire dec_ai_sv_nz;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [63:0] dec_bi_imm_b;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire dec_bi_imm_b_ok;
(* enum_base_type = "In2Sel" *)
(* enum_value_0000 = "NONE" *)
(* enum_value_1110 = "FRB" *)
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:268" *)
wire [3:0] dec_bi_sel_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire dec_oe_oe;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire dec_oe_oe_ok;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_10 = "RC" *)
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:527" *)
wire [1:0] dec_oe_sel_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
wire [31:0] dec_opcode_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire dec_rc_rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire dec_rc_rc_ok;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
wire is_mmu_spr;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:883" *)
wire is_spr_mv;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:478" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:480" *)
input [31:0] raw_opcode_in;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:878" *)
wire [9:0] spr;
reg LOGICAL__write_cr0;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/base_input_record.py:22" *)
output LOGICAL__zero_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:479" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:481" *)
input bigendian;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [13:0] dec_LOGICAL_BD;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [13:0] dec_LOGICAL_DS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [23:0] dec_LOGICAL_LI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire dec_LOGICAL_OE;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] dec_LOGICAL_RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire dec_LOGICAL_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] dec_LOGICAL_SH32;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [15:0] dec_LOGICAL_SI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [9:0] dec_LOGICAL_SPR;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [15:0] dec_LOGICAL_UI;
(* enum_base_type = "CROutSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec_LOGICAL_cr_out;
(* enum_base_type = "CryIn" *)
(* enum_value_00 = "ZERO" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "CA" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec_LOGICAL_cry_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec_LOGICAL_cry_out;
(* enum_base_type = "Function" *)
(* enum_value_000000000000000 = "NONE" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [14:0] dec_LOGICAL_function_unit;
(* enum_base_type = "In1Sel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_100 = "RS" *)
(* enum_value_101 = "FRA" *)
(* enum_value_110 = "FRS" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec_LOGICAL_in1_sel;
(* enum_base_type = "In2Sel" *)
(* enum_value_0000 = "NONE" *)
(* enum_value_1100 = "SPR" *)
(* enum_value_1101 = "RS" *)
(* enum_value_1110 = "FRB" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [3:0] dec_LOGICAL_in2_sel;
(* enum_base_type = "MicrOp" *)
(* enum_value_0000000 = "OP_ILLEGAL" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [6:0] dec_LOGICAL_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec_LOGICAL_inv_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec_LOGICAL_inv_out;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec_LOGICAL_is_32b;
(* enum_base_type = "LdstLen" *)
(* enum_value_0000 = "NONE" *)
(* enum_value_0010 = "is2B" *)
(* enum_value_0100 = "is4B" *)
(* enum_value_1000 = "is8B" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [3:0] dec_LOGICAL_ldst_len;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec_LOGICAL_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec_LOGICAL_sgn;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [5:0] dec_LOGICAL_sh;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:193" *)
wire dec_ai_immz_out;
wire [2:0] dec_ai_sel_in;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:194" *)
wire dec_ai_sv_nz;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [63:0] dec_bi_imm_b;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire dec_bi_imm_b_ok;
(* enum_base_type = "In2Sel" *)
(* enum_value_0000 = "NONE" *)
(* enum_value_1110 = "FRB" *)
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:268" *)
wire [3:0] dec_bi_sel_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire dec_oe_oe;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire dec_oe_oe_ok;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_10 = "RC" *)
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:527" *)
wire [1:0] dec_oe_sel_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
wire [31:0] dec_opcode_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire dec_rc_rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire dec_rc_rc_ok;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
wire is_mmu_spr;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:883" *)
wire is_spr_mv;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:478" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:480" *)
input [31:0] raw_opcode_in;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:878" *)
wire [9:0] spr;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/base_input_record.py:22" *)
output MUL__write_cr0;
reg MUL__write_cr0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:479" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:481" *)
input bigendian;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [13:0] dec_MUL_BD;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [13:0] dec_MUL_DS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [23:0] dec_MUL_LI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire dec_MUL_OE;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire dec_MUL_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] dec_MUL_SH32;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [15:0] dec_MUL_SI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [9:0] dec_MUL_SPR;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [15:0] dec_MUL_UI;
(* enum_base_type = "CROutSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec_MUL_cr_out;
(* enum_base_type = "Function" *)
(* enum_value_000000000000000 = "NONE" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [14:0] dec_MUL_function_unit;
(* enum_base_type = "In2Sel" *)
(* enum_value_0000 = "NONE" *)
(* enum_value_1100 = "SPR" *)
(* enum_value_1101 = "RS" *)
(* enum_value_1110 = "FRB" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [3:0] dec_MUL_in2_sel;
(* enum_base_type = "MicrOp" *)
(* enum_value_0000000 = "OP_ILLEGAL" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [6:0] dec_MUL_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec_MUL_is_32b;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec_MUL_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec_MUL_sgn;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [5:0] dec_MUL_sh;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [63:0] dec_bi_imm_b;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire dec_bi_imm_b_ok;
(* enum_base_type = "In2Sel" *)
(* enum_value_0000 = "NONE" *)
(* enum_value_1110 = "FRB" *)
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:268" *)
wire [3:0] dec_bi_sel_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire dec_oe_oe;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire dec_oe_oe_ok;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_10 = "RC" *)
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:527" *)
wire [1:0] dec_oe_sel_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
wire [31:0] dec_opcode_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire dec_rc_rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire dec_rc_rc_ok;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
wire is_mmu_spr;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:883" *)
wire is_spr_mv;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:478" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:480" *)
input [31:0] raw_opcode_in;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:878" *)
wire [9:0] spr;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/base_input_record.py:22" *)
output SHIFT_ROT__write_cr0;
reg SHIFT_ROT__write_cr0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:479" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:481" *)
input bigendian;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [13:0] dec_SHIFT_ROT_BD;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [13:0] dec_SHIFT_ROT_DS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [23:0] dec_SHIFT_ROT_LI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire dec_SHIFT_ROT_OE;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire dec_SHIFT_ROT_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [4:0] dec_SHIFT_ROT_SH32;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [15:0] dec_SHIFT_ROT_SI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [9:0] dec_SHIFT_ROT_SPR;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [15:0] dec_SHIFT_ROT_UI;
(* enum_base_type = "CRInSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_101 = "BC" *)
(* enum_value_110 = "WHOLE_REG" *)
(* enum_value_111 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec_SHIFT_ROT_cr_in;
(* enum_base_type = "CROutSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec_SHIFT_ROT_cr_out;
(* enum_base_type = "CryIn" *)
(* enum_value_00 = "ZERO" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "CA" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec_SHIFT_ROT_cry_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec_SHIFT_ROT_cry_out;
(* enum_base_type = "Function" *)
(* enum_value_000000000000000 = "NONE" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [14:0] dec_SHIFT_ROT_function_unit;
(* enum_base_type = "In2Sel" *)
(* enum_value_0000 = "NONE" *)
(* enum_value_1100 = "SPR" *)
(* enum_value_1101 = "RS" *)
(* enum_value_1110 = "FRB" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [3:0] dec_SHIFT_ROT_in2_sel;
(* enum_base_type = "MicrOp" *)
(* enum_value_0000000 = "OP_ILLEGAL" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [6:0] dec_SHIFT_ROT_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec_SHIFT_ROT_inv_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec_SHIFT_ROT_is_32b;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec_SHIFT_ROT_rc_sel;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec_SHIFT_ROT_sgn;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [5:0] dec_SHIFT_ROT_sh;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [63:0] dec_bi_imm_b;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire dec_bi_imm_b_ok;
(* enum_base_type = "In2Sel" *)
(* enum_value_0000 = "NONE" *)
(* enum_value_1110 = "FRB" *)
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:268" *)
wire [3:0] dec_bi_sel_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire dec_oe_oe;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire dec_oe_oe_ok;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_10 = "RC" *)
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:527" *)
wire [1:0] dec_oe_sel_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
wire [31:0] dec_opcode_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire dec_rc_rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire dec_rc_rc_ok;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
wire is_mmu_spr;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:883" *)
wire is_spr_mv;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:478" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:480" *)
input [31:0] raw_opcode_in;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:878" *)
wire [9:0] spr;
reg [6:0] SPR__insn_type;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/base_input_record.py:22" *)
output SPR__is_32bit;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:479" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:481" *)
input bigendian;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire dec_SPR_OE;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire dec_SPR_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [9:0] dec_SPR_SPR;
(* enum_base_type = "CROutSel" *)
(* enum_value_000 = "NONE" *)
(* enum_value_011 = "BT" *)
(* enum_value_100 = "WHOLE_REG" *)
(* enum_value_101 = "CR1" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [2:0] dec_SPR_cr_out;
(* enum_base_type = "Function" *)
(* enum_value_000000000000000 = "NONE" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [14:0] dec_SPR_function_unit;
(* enum_base_type = "MicrOp" *)
(* enum_value_0000000 = "OP_ILLEGAL" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [6:0] dec_SPR_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
wire dec_SPR_is_32b;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "RC" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
wire [1:0] dec_SPR_rc_sel;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* enum_value_10 = "RC" *)
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:527" *)
wire [1:0] dec_oe_sel_in;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
wire [31:0] dec_opcode_in;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
wire is_mmu_spr;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:883" *)
wire is_spr_mv;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:478" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:480" *)
input [31:0] raw_opcode_in;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:878" *)
wire [9:0] spr;
wire \$7 ;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:129" *)
wire \$9 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
input [4:0] BO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
input [4:0] FRA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
input [4:0] FRS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
input [4:0] RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
input [4:0] RS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
input [9:0] SPR;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
input [9:0] XL_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [2:0] fast_a;
reg [2:0] fast_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output fast_a_ok;
reg fast_a_ok;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:142" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
input [6:0] internal_op;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:125" *)
wire [4:0] ra;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [4:0] reg_a;
reg [4:0] reg_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output reg_a_ok;
reg reg_a_ok;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:135" *)
(* enum_value_1110000000 = "PPR" *)
(* enum_value_1110000010 = "PPR32" *)
(* enum_value_1111111111 = "PIR" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [9:0] spr_a;
reg [9:0] spr_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output spr_a_ok;
reg spr_a_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [2:0] sprmap_fast_o;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire sprmap_fast_o_ok;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:75" *)
reg [9:0] sprmap_spr_i;
(* enum_value_1110000000 = "PPR" *)
(* enum_value_1110000010 = "PPR32" *)
(* enum_value_1111111111 = "PIR" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [9:0] sprmap_spr_o;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire sprmap_spr_o_ok;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:115" *)
input sv_nz;
wire \$7 ;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:205" *)
wire \$9 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
input [4:0] ALU_RA;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:193" *)
output immz_out;
wire \$7 ;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:205" *)
wire \$9 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
input [4:0] LOGICAL_RA;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:193" *)
output immz_out;
wire \$7 ;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:205" *)
wire \$9 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
input [4:0] DIV_RA;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:193" *)
output immz_out;
wire \$7 ;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:205" *)
wire \$9 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
input [4:0] LDST_RA;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:193" *)
output immz_out;
(* generator = "nMigen" *)
module dec_b(sel_in, reg_b, reg_b_ok, fast_b, fast_b_ok, FRB, RS, RB, XL_XO, internal_op);
reg \initial = 0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [6:0] \$1 ;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:250" *)
wire \$11 ;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:253" *)
wire \$13 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [6:0] \$3 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [6:0] \$5 ;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:250" *)
wire \$7 ;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:253" *)
wire \$9 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
input [4:0] FRB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
input [4:0] RB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
input [4:0] RS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
input [9:0] XL_XO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [2:0] fast_b;
reg [2:0] fast_b;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output fast_b_ok;
reg fast_b_ok;
(* enum_base_type = "MicrOp" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
input [6:0] internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [6:0] reg_b;
reg [6:0] reg_b;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output reg_b_ok;
reg reg_b_ok;
(* enum_base_type = "In2Sel" *)
assign \$9 = ~ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:253" *) XL_XO[9];
assign \$11 = internal_op == (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:250" *) 7'h08;
assign \$13 = ~ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:253" *) XL_XO[9];
- assign \$1 = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *) FRB;
- assign \$3 = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *) RB;
- assign \$5 = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *) RS;
+ assign \$1 = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *) FRB;
+ assign \$3 = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *) RB;
+ assign \$5 = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *) RS;
assign \$7 = internal_op == (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:250" *) 7'h08;
always @* begin
if (\initial ) begin end
(* generator = "nMigen" *)
module dec_bi(imm_b, imm_b_ok, ALU_SI, ALU_UI, ALU_SH32, ALU_sh, ALU_LI, ALU_BD, ALU_DS, sel_in);
reg \initial = 0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [63:0] \$1 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [63:0] \$11 ;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:287" *)
wire [46:0] \$13 ;
wire [46:0] \$4 ;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:311" *)
wire [63:0] \$7 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [63:0] \$9 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
input [13:0] ALU_BD;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
input [13:0] ALU_DS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
input [23:0] ALU_LI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
input [4:0] ALU_SH32;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
input [15:0] ALU_SI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
input [15:0] ALU_UI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
input [5:0] ALU_sh;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:301" *)
reg [15:0] bd;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:306" *)
reg [15:0] ds;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [63:0] imm_b;
reg [63:0] imm_b;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output imm_b_ok;
reg imm_b_ok;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:296" *)
reg [31:0] si_hi;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:291" *)
reg [15:0] ui;
- assign \$9 = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *) ALU_sh;
- assign \$11 = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *) ALU_SH32;
+ assign \$9 = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *) ALU_sh;
+ assign \$11 = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *) ALU_SH32;
assign \$14 = ALU_SI <<< (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:287" *) 5'h10;
assign \$17 = ALU_LI <<< (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:297" *) 2'h2;
- assign \$1 = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *) ALU_UI;
+ assign \$1 = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *) ALU_UI;
assign \$20 = ALU_BD <<< (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:302" *) 2'h2;
assign \$23 = ALU_DS <<< (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:307" *) 2'h2;
assign \$4 = ui <<< (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:293" *) 5'h10;
(* generator = "nMigen" *)
module \dec_bi$144 (imm_b, imm_b_ok, BRANCH_SI, BRANCH_UI, BRANCH_SH32, BRANCH_sh, BRANCH_LI, BRANCH_BD, BRANCH_DS, sel_in);
reg \initial = 0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [63:0] \$1 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [63:0] \$11 ;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:287" *)
wire [46:0] \$13 ;
wire [46:0] \$4 ;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:311" *)
wire [63:0] \$7 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [63:0] \$9 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
input [13:0] BRANCH_BD;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
input [13:0] BRANCH_DS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
input [23:0] BRANCH_LI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
input [4:0] BRANCH_SH32;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
input [15:0] BRANCH_SI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
input [15:0] BRANCH_UI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
input [5:0] BRANCH_sh;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:301" *)
reg [15:0] bd;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:306" *)
reg [15:0] ds;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [63:0] imm_b;
reg [63:0] imm_b;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output imm_b_ok;
reg imm_b_ok;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:296" *)
reg [31:0] si_hi;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:291" *)
reg [15:0] ui;
- assign \$9 = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *) BRANCH_sh;
- assign \$11 = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *) BRANCH_SH32;
+ assign \$9 = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *) BRANCH_sh;
+ assign \$11 = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *) BRANCH_SH32;
assign \$14 = BRANCH_SI <<< (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:287" *) 5'h10;
assign \$17 = BRANCH_LI <<< (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:297" *) 2'h2;
- assign \$1 = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *) BRANCH_UI;
+ assign \$1 = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *) BRANCH_UI;
assign \$20 = BRANCH_BD <<< (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:302" *) 2'h2;
assign \$23 = BRANCH_DS <<< (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:307" *) 2'h2;
assign \$4 = ui <<< (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:293" *) 5'h10;
(* generator = "nMigen" *)
module \dec_bi$149 (imm_b, imm_b_ok, LOGICAL_SI, LOGICAL_UI, LOGICAL_SH32, LOGICAL_sh, LOGICAL_LI, LOGICAL_BD, LOGICAL_DS, sel_in);
reg \initial = 0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [63:0] \$1 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [63:0] \$11 ;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:287" *)
wire [46:0] \$13 ;
wire [46:0] \$4 ;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:311" *)
wire [63:0] \$7 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [63:0] \$9 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
input [13:0] LOGICAL_BD;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
input [13:0] LOGICAL_DS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
input [23:0] LOGICAL_LI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
input [4:0] LOGICAL_SH32;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
input [15:0] LOGICAL_SI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
input [15:0] LOGICAL_UI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
input [5:0] LOGICAL_sh;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:301" *)
reg [15:0] bd;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:306" *)
reg [15:0] ds;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [63:0] imm_b;
reg [63:0] imm_b;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output imm_b_ok;
reg imm_b_ok;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:296" *)
reg [31:0] si_hi;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:291" *)
reg [15:0] ui;
- assign \$9 = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *) LOGICAL_sh;
- assign \$11 = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *) LOGICAL_SH32;
+ assign \$9 = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *) LOGICAL_sh;
+ assign \$11 = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *) LOGICAL_SH32;
assign \$14 = LOGICAL_SI <<< (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:287" *) 5'h10;
assign \$17 = LOGICAL_LI <<< (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:297" *) 2'h2;
- assign \$1 = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *) LOGICAL_UI;
+ assign \$1 = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *) LOGICAL_UI;
assign \$20 = LOGICAL_BD <<< (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:302" *) 2'h2;
assign \$23 = LOGICAL_DS <<< (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:307" *) 2'h2;
assign \$4 = ui <<< (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:293" *) 5'h10;
(* generator = "nMigen" *)
module \dec_bi$157 (imm_b, imm_b_ok, DIV_SI, DIV_UI, DIV_SH32, DIV_sh, DIV_LI, DIV_BD, DIV_DS, sel_in);
reg \initial = 0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [63:0] \$1 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [63:0] \$11 ;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:287" *)
wire [46:0] \$13 ;
wire [46:0] \$4 ;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:311" *)
wire [63:0] \$7 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [63:0] \$9 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
input [13:0] DIV_BD;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
input [13:0] DIV_DS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
input [23:0] DIV_LI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
input [4:0] DIV_SH32;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
input [15:0] DIV_SI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
input [15:0] DIV_UI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
input [5:0] DIV_sh;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:301" *)
reg [15:0] bd;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:306" *)
reg [15:0] ds;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [63:0] imm_b;
reg [63:0] imm_b;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output imm_b_ok;
reg imm_b_ok;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:296" *)
reg [31:0] si_hi;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:291" *)
reg [15:0] ui;
- assign \$9 = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *) DIV_sh;
- assign \$11 = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *) DIV_SH32;
+ assign \$9 = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *) DIV_sh;
+ assign \$11 = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *) DIV_SH32;
assign \$14 = DIV_SI <<< (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:287" *) 5'h10;
assign \$17 = DIV_LI <<< (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:297" *) 2'h2;
- assign \$1 = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *) DIV_UI;
+ assign \$1 = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *) DIV_UI;
assign \$20 = DIV_BD <<< (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:302" *) 2'h2;
assign \$23 = DIV_DS <<< (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:307" *) 2'h2;
assign \$4 = ui <<< (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:293" *) 5'h10;
(* generator = "nMigen" *)
module \dec_bi$161 (imm_b, imm_b_ok, MUL_SI, MUL_UI, MUL_SH32, MUL_sh, MUL_LI, MUL_BD, MUL_DS, sel_in);
reg \initial = 0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [63:0] \$1 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [63:0] \$11 ;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:287" *)
wire [46:0] \$13 ;
wire [46:0] \$4 ;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:311" *)
wire [63:0] \$7 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [63:0] \$9 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
input [13:0] MUL_BD;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
input [13:0] MUL_DS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
input [23:0] MUL_LI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
input [4:0] MUL_SH32;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
input [15:0] MUL_SI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
input [15:0] MUL_UI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
input [5:0] MUL_sh;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:301" *)
reg [15:0] bd;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:306" *)
reg [15:0] ds;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [63:0] imm_b;
reg [63:0] imm_b;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output imm_b_ok;
reg imm_b_ok;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:296" *)
reg [31:0] si_hi;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:291" *)
reg [15:0] ui;
- assign \$9 = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *) MUL_sh;
- assign \$11 = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *) MUL_SH32;
+ assign \$9 = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *) MUL_sh;
+ assign \$11 = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *) MUL_SH32;
assign \$14 = MUL_SI <<< (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:287" *) 5'h10;
assign \$17 = MUL_LI <<< (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:297" *) 2'h2;
- assign \$1 = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *) MUL_UI;
+ assign \$1 = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *) MUL_UI;
assign \$20 = MUL_BD <<< (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:302" *) 2'h2;
assign \$23 = MUL_DS <<< (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:307" *) 2'h2;
assign \$4 = ui <<< (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:293" *) 5'h10;
(* generator = "nMigen" *)
module \dec_bi$165 (imm_b, imm_b_ok, SHIFT_ROT_SI, SHIFT_ROT_UI, SHIFT_ROT_SH32, SHIFT_ROT_sh, SHIFT_ROT_LI, SHIFT_ROT_BD, SHIFT_ROT_DS, sel_in);
reg \initial = 0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [63:0] \$1 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [63:0] \$11 ;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:287" *)
wire [46:0] \$13 ;
wire [46:0] \$4 ;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:311" *)
wire [63:0] \$7 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [63:0] \$9 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
input [13:0] SHIFT_ROT_BD;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
input [13:0] SHIFT_ROT_DS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
input [23:0] SHIFT_ROT_LI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
input [4:0] SHIFT_ROT_SH32;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
input [15:0] SHIFT_ROT_SI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
input [15:0] SHIFT_ROT_UI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
input [5:0] SHIFT_ROT_sh;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:301" *)
reg [15:0] bd;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:306" *)
reg [15:0] ds;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [63:0] imm_b;
reg [63:0] imm_b;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output imm_b_ok;
reg imm_b_ok;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:296" *)
reg [31:0] si_hi;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:291" *)
reg [15:0] ui;
- assign \$9 = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *) SHIFT_ROT_sh;
- assign \$11 = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *) SHIFT_ROT_SH32;
+ assign \$9 = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *) SHIFT_ROT_sh;
+ assign \$11 = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *) SHIFT_ROT_SH32;
assign \$14 = SHIFT_ROT_SI <<< (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:287" *) 5'h10;
assign \$17 = SHIFT_ROT_LI <<< (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:297" *) 2'h2;
- assign \$1 = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *) SHIFT_ROT_UI;
+ assign \$1 = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *) SHIFT_ROT_UI;
assign \$20 = SHIFT_ROT_BD <<< (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:302" *) 2'h2;
assign \$23 = SHIFT_ROT_DS <<< (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:307" *) 2'h2;
assign \$4 = ui <<< (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:293" *) 5'h10;
(* generator = "nMigen" *)
module \dec_bi$170 (imm_b, imm_b_ok, LDST_SI, LDST_UI, LDST_SH32, LDST_sh, LDST_LI, LDST_BD, LDST_DS, sel_in);
reg \initial = 0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [63:0] \$1 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [63:0] \$11 ;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:287" *)
wire [46:0] \$13 ;
wire [46:0] \$4 ;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:311" *)
wire [63:0] \$7 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
wire [63:0] \$9 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
input [13:0] LDST_BD;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
input [13:0] LDST_DS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
input [23:0] LDST_LI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
input [4:0] LDST_SH32;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
input [15:0] LDST_SI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
input [15:0] LDST_UI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
input [5:0] LDST_sh;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:301" *)
reg [15:0] bd;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:306" *)
reg [15:0] ds;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [63:0] imm_b;
reg [63:0] imm_b;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output imm_b_ok;
reg imm_b_ok;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:296" *)
reg [31:0] si_hi;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:291" *)
reg [15:0] ui;
- assign \$9 = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *) LDST_sh;
- assign \$11 = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *) LDST_SH32;
+ assign \$9 = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *) LDST_sh;
+ assign \$11 = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *) LDST_SH32;
assign \$14 = LDST_SI <<< (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:287" *) 5'h10;
assign \$17 = LDST_LI <<< (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:297" *) 2'h2;
- assign \$1 = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *) LDST_UI;
+ assign \$1 = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *) LDST_UI;
assign \$20 = LDST_BD <<< (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:302" *) 2'h2;
assign \$23 = LDST_DS <<< (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:307" *) 2'h2;
assign \$4 = ui <<< (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:293" *) 5'h10;
(* generator = "nMigen" *)
module dec_c(reg_c, reg_c_ok, FRS, FRC, RS, RB, sel_in);
reg \initial = 0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
input [4:0] FRC;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
input [4:0] FRS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
input [4:0] RB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
input [4:0] RS;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [4:0] reg_c;
reg [4:0] reg_c;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output reg_c_ok;
reg reg_c_ok;
(* enum_base_type = "In3Sel" *)
wire \$5 ;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:624" *)
wire \$7 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
input [4:0] BA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
input [4:0] BB;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
input [4:0] BC;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
input [4:0] BI;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
input [4:0] BT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
input [7:0] FXM;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
input [2:0] X_BFA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [2:0] cr_bitfield;
reg [2:0] cr_bitfield;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [2:0] cr_bitfield_b;
reg [2:0] cr_bitfield_b;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output cr_bitfield_b_ok;
reg cr_bitfield_b_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [2:0] cr_bitfield_o;
reg [2:0] cr_bitfield_o;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output cr_bitfield_o_ok;
reg cr_bitfield_o_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output cr_bitfield_ok;
reg cr_bitfield_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [7:0] cr_fxm;
reg [7:0] cr_fxm;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output cr_fxm_ok;
reg cr_fxm_ok;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:571" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
input [6:0] internal_op;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:622" *)
reg move_one;
wire \$1 ;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:689" *)
wire \$3 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
input [7:0] FXM;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
input [4:0] XL_BT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
input [2:0] X_BF;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [2:0] cr_bitfield;
reg [2:0] cr_bitfield;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output cr_bitfield_ok;
reg cr_bitfield_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [7:0] cr_fxm;
reg [7:0] cr_fxm;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output cr_fxm_ok;
reg cr_fxm_ok;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:646" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
input [6:0] internal_op;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:687" *)
reg move_one;
wire \$5 ;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:411" *)
wire \$7 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
input [4:0] BO;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
input [4:0] FRT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
input [4:0] RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
input [4:0] RT;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
input [9:0] SPR;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [2:0] fast_o;
reg [2:0] fast_o;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output fast_o_ok;
reg fast_o_ok;
(* enum_base_type = "MicrOp" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
input [6:0] internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [4:0] reg_o;
reg [4:0] reg_o;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output reg_o_ok;
reg reg_o_ok;
(* enum_base_type = "OutSel" *)
(* enum_value_1110000000 = "PPR" *)
(* enum_value_1110000010 = "PPR32" *)
(* enum_value_1111111111 = "PIR" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [9:0] spr_o;
reg [9:0] spr_o;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output spr_o_ok;
reg spr_o_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [2:0] sprmap_fast_o;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire sprmap_fast_o_ok;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:75" *)
reg [9:0] sprmap_spr_i;
(* enum_value_1110000000 = "PPR" *)
(* enum_value_1110000010 = "PPR32" *)
(* enum_value_1111111111 = "PIR" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [9:0] sprmap_spr_o;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire sprmap_spr_o_ok;
assign \$1 = internal_op == (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:401" *) 7'h31;
assign \$3 = internal_op == (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:401" *) 7'h31;
wire \$1 ;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:458" *)
wire \$3 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
input [4:0] RA;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [2:0] fast_o2;
reg [2:0] fast_o2;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output fast_o2_ok;
reg fast_o2_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [2:0] fast_o3;
reg [2:0] fast_o3;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output fast_o3_ok;
reg fast_o3_ok;
(* enum_base_type = "MicrOp" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
input [6:0] internal_op;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:441" *)
input lk;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [4:0] reg_o2;
reg [4:0] reg_o2;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output reg_o2_ok;
reg reg_o2_ok;
(* enum_base_type = "LDSTMode" *)
(* enum_value_01 = "update" *)
(* enum_value_10 = "cix" *)
(* enum_value_11 = "cx" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
input [1:0] upd;
assign \$1 = upd == (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:458" *) 2'h1;
assign \$3 = upd == (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:458" *) 2'h1;
(* generator = "nMigen" *)
module dec_oe(ALU_internal_op, oe, oe_ok, ALU_OE, sel_in);
reg \initial = 0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
input ALU_OE;
(* enum_base_type = "MicrOp" *)
(* enum_value_0000000 = "OP_ILLEGAL" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
input [6:0] ALU_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output oe;
reg oe;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output oe_ok;
reg oe_ok;
(* enum_base_type = "RC" *)
(* generator = "nMigen" *)
module \dec_oe$140 (CR_internal_op, CR_OE, sel_in);
reg \initial = 0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
input CR_OE;
(* enum_base_type = "MicrOp" *)
(* enum_value_0000000 = "OP_ILLEGAL" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
input [6:0] CR_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg oe;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg oe_ok;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* generator = "nMigen" *)
module \dec_oe$143 (BRANCH_internal_op, BRANCH_OE, sel_in);
reg \initial = 0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
input BRANCH_OE;
(* enum_base_type = "MicrOp" *)
(* enum_value_0000000 = "OP_ILLEGAL" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
input [6:0] BRANCH_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg oe;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg oe_ok;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* generator = "nMigen" *)
module \dec_oe$147 (LOGICAL_internal_op, oe, oe_ok, LOGICAL_OE, sel_in);
reg \initial = 0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
input LOGICAL_OE;
(* enum_base_type = "MicrOp" *)
(* enum_value_0000000 = "OP_ILLEGAL" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
input [6:0] LOGICAL_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output oe;
reg oe;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output oe_ok;
reg oe_ok;
(* enum_base_type = "RC" *)
(* generator = "nMigen" *)
module \dec_oe$152 (SPR_internal_op, SPR_OE, sel_in);
reg \initial = 0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
input SPR_OE;
(* enum_base_type = "MicrOp" *)
(* enum_value_0000000 = "OP_ILLEGAL" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
input [6:0] SPR_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg oe;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg oe_ok;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* generator = "nMigen" *)
module \dec_oe$155 (DIV_internal_op, oe, oe_ok, DIV_OE, sel_in);
reg \initial = 0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
input DIV_OE;
(* enum_base_type = "MicrOp" *)
(* enum_value_0000000 = "OP_ILLEGAL" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
input [6:0] DIV_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output oe;
reg oe;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output oe_ok;
reg oe_ok;
(* enum_base_type = "RC" *)
(* generator = "nMigen" *)
module \dec_oe$160 (MUL_internal_op, oe, oe_ok, MUL_OE, sel_in);
reg \initial = 0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
input MUL_OE;
(* enum_base_type = "MicrOp" *)
(* enum_value_0000000 = "OP_ILLEGAL" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
input [6:0] MUL_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output oe;
reg oe;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output oe_ok;
reg oe_ok;
(* enum_base_type = "RC" *)
(* generator = "nMigen" *)
module \dec_oe$164 (SHIFT_ROT_internal_op, oe, oe_ok, SHIFT_ROT_OE, sel_in);
reg \initial = 0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
input SHIFT_ROT_OE;
(* enum_base_type = "MicrOp" *)
(* enum_value_0000000 = "OP_ILLEGAL" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
input [6:0] SHIFT_ROT_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output oe;
reg oe;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output oe_ok;
reg oe_ok;
(* enum_base_type = "RC" *)
(* generator = "nMigen" *)
module \dec_oe$168 (LDST_internal_op, oe, oe_ok, LDST_OE, sel_in);
reg \initial = 0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
input LDST_OE;
(* enum_base_type = "MicrOp" *)
(* enum_value_0000000 = "OP_ILLEGAL" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
input [6:0] LDST_internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output oe;
reg oe;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output oe_ok;
reg oe_ok;
(* enum_base_type = "RC" *)
(* generator = "nMigen" *)
module \dec_oe$173 (internal_op, oe, oe_ok, OE, sel_in);
reg \initial = 0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
input OE;
(* enum_base_type = "MicrOp" *)
(* enum_value_0000000 = "OP_ILLEGAL" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
input [6:0] internal_op;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output oe;
reg oe;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output oe_ok;
reg oe_ok;
(* enum_base_type = "RC" *)
(* generator = "nMigen" *)
module dec_rc(rc, rc_ok, ALU_Rc, sel_in);
reg \initial = 0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
input ALU_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output rc;
reg rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output rc_ok;
reg rc_ok;
(* enum_base_type = "RC" *)
(* generator = "nMigen" *)
module \dec_rc$139 (CR_Rc, sel_in);
reg \initial = 0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
input CR_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg rc_ok;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* generator = "nMigen" *)
module \dec_rc$142 (BRANCH_Rc, sel_in);
reg \initial = 0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
input BRANCH_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg rc_ok;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* generator = "nMigen" *)
module \dec_rc$146 (rc, rc_ok, LOGICAL_Rc, sel_in);
reg \initial = 0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
input LOGICAL_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output rc;
reg rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output rc_ok;
reg rc_ok;
(* enum_base_type = "RC" *)
(* generator = "nMigen" *)
module \dec_rc$151 (SPR_Rc, sel_in);
reg \initial = 0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
input SPR_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg rc_ok;
(* enum_base_type = "RC" *)
(* enum_value_00 = "NONE" *)
(* generator = "nMigen" *)
module \dec_rc$154 (rc, rc_ok, DIV_Rc, sel_in);
reg \initial = 0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
input DIV_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output rc;
reg rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output rc_ok;
reg rc_ok;
(* enum_base_type = "RC" *)
(* generator = "nMigen" *)
module \dec_rc$159 (rc, rc_ok, MUL_Rc, sel_in);
reg \initial = 0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
input MUL_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output rc;
reg rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output rc_ok;
reg rc_ok;
(* enum_base_type = "RC" *)
(* generator = "nMigen" *)
module \dec_rc$163 (rc, rc_ok, SHIFT_ROT_Rc, sel_in);
reg \initial = 0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
input SHIFT_ROT_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output rc;
reg rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output rc_ok;
reg rc_ok;
(* enum_base_type = "RC" *)
(* generator = "nMigen" *)
module \dec_rc$167 (rc, rc_ok, LDST_Rc, sel_in);
reg \initial = 0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
input LDST_Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output rc;
reg rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output rc_ok;
reg rc_ok;
(* enum_base_type = "RC" *)
(* generator = "nMigen" *)
module \dec_rc$172 (rc, rc_ok, Rc, sel_in);
reg \initial = 0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
input Rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output rc;
reg rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output rc_ok;
reg rc_ok;
(* enum_base_type = "RC" *)
wire all_rd_pulse;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/util.py:61" *)
wire all_rd_rise;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [3:0] alu_div0_cr_a;
(* enum_base_type = "SVPtype" *)
(* enum_value_00 = "NONE" *)
wire alu_div0_n_ready_i;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:252" *)
wire alu_div0_n_valid_o;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [63:0] alu_div0_o;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:160" *)
wire alu_div0_p_ready_o;
wire [63:0] alu_div0_ra;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
wire [63:0] alu_div0_rb;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [1:0] alu_div0_xer_ov;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire alu_div0_xer_so;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
wire \alu_div0_xer_so$1 ;
input coresync_clk;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/simple/issuer.py:932" *)
input coresync_rst;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output cr_a_ok;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/compalu_multi.py:107" *)
output cu_busy_o;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/compalu_multi.py:84" *)
output dest4_o;
reg dest4_o;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output o_ok;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/latch.py:67" *)
wire opc_l_q_opc;
wire \src_sel$82 ;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/compalu_multi.py:211" *)
wire wr_any;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output xer_ov_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output xer_so_ok;
assign \$100 = ~ (* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/compalu_multi.py:173" *) alu_div0_logical_op__zero_a;
assign \$102 = ~ (* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/compalu_multi.py:173" *) alu_div0_logical_op__imm_data__ok;
input coresync_clk;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/simple/issuer.py:932" *)
input coresync_rst;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output cr_a_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output \cr_a_ok$111 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output \cr_a_ok$112 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output \cr_a_ok$113 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output \cr_a_ok$114 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output \cr_a_ok$115 ;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/compalu_multi.py:34" *)
input cu_ad__go_i;
output [63:0] \dest6_o$151 ;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/compalu_multi.py:84" *)
output [31:0] dest7_o;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [63:0] ea;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/exceptions.py:14" *)
output exc_o_happened;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output fast1_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output \fast1_ok$139 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output \fast1_ok$140 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output fast2_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output \fast2_ok$141 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output fast3_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output full_cr_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [95:0] ldst_port0_addr_i;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output ldst_port0_addr_i_ok;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/pimem.py:110" *)
input ldst_port0_addr_ok_o;
output ldst_port0_is_ld_i;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/pimem.py:100" *)
output ldst_port0_is_st_i;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
input [63:0] ldst_port0_ld_data_o;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
input ldst_port0_ld_data_o_ok;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/pimem.py:120" *)
output ldst_port0_msr_pr;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [63:0] ldst_port0_st_data_i;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output ldst_port0_st_data_i_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output msr_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output nia_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output \nia_ok$148 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [63:0] o;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output o_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output \o_ok$81 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output \o_ok$84 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output \o_ok$87 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output \o_ok$90 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output \o_ok$93 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output \o_ok$96 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output \o_ok$99 ;
(* enum_base_type = "SVPtype" *)
(* enum_value_00 = "NONE" *)
input [1:0] oper_i_ldst_ldst0__sv_saturate;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/base_input_record.py:22" *)
input oper_i_ldst_ldst0__zero_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output spr1_ok;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/compalu_multi.py:72" *)
input [63:0] src1_i;
input [1:0] src6_i;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/compalu_multi.py:72" *)
input [3:0] \src6_i$73 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output svstate_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output xer_ca_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output \xer_ca_ok$121 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output \xer_ca_ok$122 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output xer_ov_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output \xer_ov_ok$125 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output \xer_ov_ok$126 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output \xer_ov_ok$127 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output xer_so_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output \xer_so_ok$130 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output \xer_so_ok$131 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output \xer_so_ok$132 ;
alu0 alu0 (
.coresync_clk(coresync_clk),
output dbus__stb;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/minerva/units/loadstore.py:32" *)
output dbus__we;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
input [95:0] ldst_port0_addr_i;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
input ldst_port0_addr_i_ok;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/pimem.py:110" *)
output ldst_port0_addr_ok_o;
input ldst_port0_is_ld_i;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/pimem.py:100" *)
input ldst_port0_is_st_i;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [63:0] ldst_port0_ld_data_o;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output ldst_port0_ld_data_o_ok;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/pimem.py:120" *)
input ldst_port0_msr_pr;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
input [63:0] ldst_port0_st_data_i;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
input ldst_port0_st_data_i_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [47:0] pimem_ldst_port0_addr_i;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire pimem_ldst_port0_addr_i_ok;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/pimem.py:110" *)
wire pimem_ldst_port0_addr_ok_o;
wire pimem_ldst_port0_is_ld_i;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/pimem.py:100" *)
wire pimem_ldst_port0_is_st_i;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [63:0] pimem_ldst_port0_ld_data_o;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire pimem_ldst_port0_ld_data_o_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [63:0] pimem_ldst_port0_st_data_i;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire pimem_ldst_port0_st_data_i_ok;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/minerva/units/loadstore.py:69" *)
wire [63:0] pimem_m_ld_data_o;
reg idx_l_r_idx_l;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/latch.py:65" *)
reg idx_l_s_idx_l;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
input [95:0] ldst_port0_addr_i;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [47:0] \ldst_port0_addr_i$5 ;
reg [47:0] \ldst_port0_addr_i$5 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
input ldst_port0_addr_i_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output \ldst_port0_addr_i_ok$6 ;
reg \ldst_port0_addr_i_ok$6 ;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/pimem.py:110" *)
(* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/pimem.py:100" *)
output \ldst_port0_is_st_i$2 ;
reg \ldst_port0_is_st_i$2 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [63:0] ldst_port0_ld_data_o;
reg [63:0] ldst_port0_ld_data_o;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
input [63:0] \ldst_port0_ld_data_o$8 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output ldst_port0_ld_data_o_ok;
reg ldst_port0_ld_data_o_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
input \ldst_port0_ld_data_o_ok$9 ;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/pimem.py:126" *)
reg ldst_port0_ldst_error;
input ldst_port0_msr_pr;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/pimem.py:120" *)
reg \ldst_port0_msr_pr$28 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
input [63:0] ldst_port0_st_data_i;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [63:0] \ldst_port0_st_data_i$11 ;
reg [63:0] \ldst_port0_st_data_i$11 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
input ldst_port0_st_data_i_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output \ldst_port0_st_data_i_ok$10 ;
reg \ldst_port0_st_data_i_ok$10 ;
(* src = "/home/lkcl/src/libresoc/nmigen/nmigen/lib/coding.py:75" *)
reg [63:0] dest1_o;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/compalu_multi.py:84" *)
reg [63:0] dest2_o;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [63:0] ea;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/latch.py:46" *)
reg [63:0] ea_r = 64'h0000000000000000;
reg [63:0] ldo_r = 64'h0000000000000000;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/latch.py:46" *)
reg [63:0] \ldo_r$next ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [95:0] ldst_port0_addr_i;
reg [95:0] ldst_port0_addr_i = 96'h000000000000000000000000;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [95:0] \ldst_port0_addr_i$next ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output ldst_port0_addr_i_ok;
reg ldst_port0_addr_i_ok = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg \ldst_port0_addr_i_ok$next ;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/pimem.py:110" *)
input ldst_port0_addr_ok_o;
output ldst_port0_is_ld_i;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/pimem.py:100" *)
output ldst_port0_is_st_i;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
input [63:0] ldst_port0_ld_data_o;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
input ldst_port0_ld_data_o_ok;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/pimem.py:120" *)
output ldst_port0_msr_pr;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [63:0] ldst_port0_st_data_i;
reg [63:0] ldst_port0_st_data_i;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output ldst_port0_st_data_i_ok;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/compldst_multi.py:120" *)
wire load_mem_o;
reg \lsd_l_r_lsd$next ;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/latch.py:65" *)
wire lsd_l_s_lsd;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [63:0] o;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/compldst_multi.py:272" *)
wire op_is_ld;
reg \alu_l_r_alu$next ;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/latch.py:65" *)
wire alu_l_s_alu;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [3:0] alu_logical0_cr_a;
(* enum_base_type = "SVPtype" *)
(* enum_value_00 = "NONE" *)
wire alu_logical0_n_ready_i;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:252" *)
wire alu_logical0_n_valid_o;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [63:0] alu_logical0_o;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:160" *)
wire alu_logical0_p_ready_o;
input coresync_clk;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/simple/issuer.py:932" *)
input coresync_rst;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output cr_a_ok;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/compalu_multi.py:107" *)
output cu_busy_o;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/compalu_multi.py:84" *)
output [3:0] dest2_o;
reg [3:0] dest2_o;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output o_ok;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/latch.py:67" *)
wire opc_l_q_opc;
input coresync_clk;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/simple/issuer.py:932" *)
input coresync_rst;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [3:0] cr_a;
reg [3:0] cr_a = 4'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [3:0] \cr_a$103 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [3:0] \cr_a$105 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg [3:0] \cr_a$next ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output cr_a_ok;
reg cr_a_ok = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire \cr_a_ok$104 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire \cr_a_ok$106 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg \cr_a_ok$next ;
(* enum_base_type = "SVPtype" *)
(* enum_value_00 = "NONE" *)
wire [1:0] main_muxid;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/concurrentunit.py:45" *)
wire [1:0] \main_muxid$51 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [63:0] main_o;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire main_o_ok;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
wire [63:0] main_ra;
wire [63:0] main_rb;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
wire main_xer_so;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire \main_xer_so$74 ;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/concurrentunit.py:45" *)
output [1:0] muxid;
input n_ready_i;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:252" *)
output n_valid_o;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [63:0] o;
reg [63:0] o = 64'h0000000000000000;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [63:0] \o$101 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg [63:0] \o$next ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output o_ok;
reg o_ok = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire \o_ok$102 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg \o_ok$next ;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:160" *)
output p_ready_o;
input [63:0] ra;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
input [63:0] rb;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output xer_so;
reg xer_so = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire \xer_so$107 ;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
input \xer_so$24 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg \xer_so$next ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output xer_so_ok;
reg xer_so_ok = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire \xer_so_ok$108 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire \xer_so_ok$109 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg \xer_so_ok$next ;
assign \$76 = \p_valid_i$75 & (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/singlepipe.py:629" *) p_ready_o;
always @(posedge coresync_clk)
input coresync_clk;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/simple/issuer.py:932" *)
input coresync_rst;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
input [3:0] cr_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [3:0] \cr_a$26 ;
reg [3:0] \cr_a$26 = 4'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg [3:0] \cr_a$26$next ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [3:0] \cr_a$84 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
input cr_a_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output \cr_a_ok$27 ;
reg \cr_a_ok$27 = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg \cr_a_ok$27$next ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire \cr_a_ok$54 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire \cr_a_ok$85 ;
(* enum_base_type = "SVPtype" *)
(* enum_value_00 = "NONE" *)
input n_ready_i;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:252" *)
output n_valid_o;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
input [63:0] o;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [63:0] \o$24 ;
reg [63:0] \o$24 = 64'h0000000000000000;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg [63:0] \o$24$next ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [63:0] \o$82 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
input o_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output \o_ok$25 ;
reg \o_ok$25 = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg \o_ok$25$next ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire \o_ok$83 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [3:0] output_cr_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [3:0] \output_cr_a$53 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire output_cr_a_ok;
(* enum_base_type = "SVPtype" *)
(* enum_value_00 = "NONE" *)
wire [1:0] output_muxid;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/concurrentunit.py:45" *)
wire [1:0] \output_muxid$28 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [63:0] output_o;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [63:0] \output_o$51 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire output_o_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire \output_o_ok$52 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire output_xer_so;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:160" *)
output p_ready_o;
reg r_busy = 1'h0;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/singlepipe.py:620" *)
reg \r_busy$next ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
input xer_so;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
input xer_so_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire \xer_so_ok$55 ;
assign \$57 = \p_valid_i$56 & (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/singlepipe.py:629" *) p_ready_o;
always @(posedge coresync_clk)
reg carry_32;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/alu/main_stage.py:101" *)
reg carry_64;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [3:0] cr_a;
reg [3:0] cr_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output cr_a_ok;
reg cr_a_ok;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/alu/main_stage.py:178" *)
input [1:0] muxid;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/concurrentunit.py:45" *)
output [1:0] \muxid$1 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [63:0] o;
reg [63:0] o;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output o_ok;
reg o_ok;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/alu/main_stage.py:156" *)
reg [4:0] tval;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
input [1:0] xer_ca;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [1:0] \xer_ca$24 ;
reg [1:0] \xer_ca$24 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output xer_ca_ok;
reg xer_ca_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [1:0] xer_ov;
reg [1:0] xer_ov;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output xer_ov_ok;
reg xer_ov_ok;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
input xer_so;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output \xer_so$25 ;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/alu/main_stage.py:103" *)
reg zerohi;
input [1:0] muxid;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/concurrentunit.py:45" *)
output [1:0] \muxid$1 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [63:0] o;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output o_ok;
reg o_ok;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
input sr_op__write_cr0;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/base_input_record.py:22" *)
output \sr_op__write_cr0$10 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [1:0] xer_ca;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
input xer_so;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output \xer_so$23 ;
rotator rotator (
.arith(rotator_arith),
reg ctr_zero_bo1;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
input [63:0] fast1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [63:0] \fast1$14 ;
reg [63:0] \fast1$14 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output fast1_ok;
reg fast1_ok;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
input [63:0] fast2;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [63:0] \fast2$15 ;
reg [63:0] \fast2$15 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output fast2_ok;
reg fast2_ok;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/concurrentunit.py:45" *)
input [1:0] muxid;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/concurrentunit.py:45" *)
output [1:0] \muxid$1 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [63:0] nia;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output nia_ok;
assign \$16 = br_op__insn_type == (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/branch/main_stage.py:92" *) 7'h08;
assign \$18 = br_op__insn[1] | (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/branch/main_stage.py:92" *) \$16 ;
wire equal;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
input [63:0] fast1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [63:0] \fast1$16 ;
reg [63:0] \fast1$16 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output fast1_ok;
reg fast1_ok;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
input [63:0] fast2;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [63:0] \fast2$17 ;
reg [63:0] \fast2$17 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output fast2_ok;
reg fast2_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [63:0] fast3;
reg [63:0] fast3;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output fast3_ok;
reg fast3_ok;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/trap/main_stage.py:166" *)
wire lt_s;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/trap/main_stage.py:167" *)
wire lt_u;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [63:0] msr;
reg [63:0] msr;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output msr_ok;
reg msr_ok;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/concurrentunit.py:45" *)
input [1:0] muxid;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/concurrentunit.py:45" *)
output [1:0] \muxid$1 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [63:0] nia;
reg [63:0] nia;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output nia_ok;
reg nia_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [63:0] o;
reg [63:0] o;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output o_ok;
reg o_ok;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
input [63:0] rb;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/trap/main_stage.py:184" *)
wire should_trap;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [31:0] svstate;
reg [31:0] svstate;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output svstate_ok;
reg svstate_ok;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/trap/main_stage.py:142" *)
input [1:0] muxid;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/concurrentunit.py:45" *)
output [1:0] \muxid$1 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [63:0] o;
reg [63:0] o;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output o_ok;
reg o_ok;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/logical/main_stage.py:84" *)
input [63:0] rb;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
input xer_so;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output \xer_so$24 ;
assign \$99 = ra[39:32] == (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/logical/main_stage.py:67" *) rb[39:32];
assign \$101 = ra[39:32] == (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/logical/main_stage.py:67" *) rb[39:32];
reg [1:0] bt;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
input [3:0] cr_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [3:0] \cr_a$10 ;
reg [3:0] \cr_a$10 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output cr_a_ok;
reg cr_a_ok;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
output [1:0] \cr_op__sv_saturate$7 ;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
input [31:0] full_cr;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [31:0] \full_cr$9 ;
reg [31:0] \full_cr$9 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output full_cr_ok;
reg full_cr_ok;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/cr/main_stage.py:70" *)
input [1:0] muxid;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/concurrentunit.py:45" *)
output [1:0] \muxid$1 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [63:0] o;
reg [63:0] o;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output o_ok;
reg o_ok;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
reg \alu_l_r_alu$next ;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/latch.py:65" *)
wire alu_l_s_alu;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [3:0] alu_mul0_cr_a;
(* enum_base_type = "SVPtype" *)
(* enum_value_00 = "NONE" *)
wire alu_mul0_n_ready_i;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:252" *)
wire alu_mul0_n_valid_o;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [63:0] alu_mul0_o;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:160" *)
wire alu_mul0_p_ready_o;
wire [63:0] alu_mul0_ra;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
wire [63:0] alu_mul0_rb;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [1:0] alu_mul0_xer_ov;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire alu_mul0_xer_so;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
wire \alu_mul0_xer_so$1 ;
input coresync_clk;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/simple/issuer.py:932" *)
input coresync_rst;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output cr_a_ok;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/compalu_multi.py:107" *)
output cu_busy_o;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/compalu_multi.py:84" *)
output dest4_o;
reg dest4_o;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output o_ok;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/latch.py:67" *)
wire opc_l_q_opc;
wire src_sel;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/compalu_multi.py:211" *)
wire wr_any;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output xer_ov_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output xer_so_ok;
assign \$100 = \$96 & (* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/compalu_multi.py:347" *) \$98 ;
assign \$102 = cu_busy_o & (* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/compalu_multi.py:350" *) cu_shadown_i;
input neg_res;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
input [128:0] o;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [63:0] \o$18 ;
reg [63:0] \o$18 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output o_ok;
reg o_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [1:0] xer_ov;
reg [1:0] xer_ov;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output xer_ov_ok;
reg xer_ov_ok;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
input xer_so;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output \xer_so$19 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output xer_so_ok;
assign \$21 = - (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/mul/post_stage.py:41" *) o;
assign \$23 = + (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *) o;
input coresync_clk;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/simple/issuer.py:932" *)
input coresync_rst;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [3:0] cr_a;
reg [3:0] cr_a = 4'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [3:0] \cr_a$63 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [3:0] \cr_a$89 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg [3:0] \cr_a$next ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output cr_a_ok;
reg cr_a_ok = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire \cr_a_ok$62 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire \cr_a_ok$64 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire \cr_a_ok$90 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg \cr_a_ok$next ;
(* enum_base_type = "SVPtype" *)
(* enum_value_00 = "NONE" *)
wire mul3_neg_res;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
wire [128:0] mul3_o;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [63:0] \mul3_o$37 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire mul3_o_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [1:0] mul3_xer_ov;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire mul3_xer_ov_ok;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
wire mul3_xer_so;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire \mul3_xer_so$38 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire mul3_xer_so_ok;
(* enum_base_type = "SVPtype" *)
(* enum_value_00 = "NONE" *)
wire \neg_res32$61 ;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
input [128:0] o;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [63:0] \o$18 ;
reg [63:0] \o$18 = 64'h0000000000000000;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg [63:0] \o$18$next ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [63:0] \o$87 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output o_ok;
reg o_ok = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire \o_ok$88 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg \o_ok$next ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [3:0] output_cr_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [3:0] \output_cr_a$58 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire output_cr_a_ok;
(* enum_base_type = "SVPtype" *)
(* enum_value_00 = "NONE" *)
wire [1:0] output_muxid;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/concurrentunit.py:45" *)
wire [1:0] \output_muxid$39 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [63:0] output_o;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [63:0] \output_o$56 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire output_o_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire \output_o_ok$57 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [1:0] output_xer_ov;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [1:0] \output_xer_ov$59 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire output_xer_ov_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire output_xer_so;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire \output_xer_so$60 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire output_xer_so_ok;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:160" *)
output p_ready_o;
reg r_busy = 1'h0;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/singlepipe.py:620" *)
reg \r_busy$next ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [1:0] xer_ov;
reg [1:0] xer_ov = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [1:0] \xer_ov$91 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg [1:0] \xer_ov$next ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output xer_ov_ok;
reg xer_ov_ok = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire \xer_ov_ok$65 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire \xer_ov_ok$92 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg \xer_ov_ok$next ;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
input xer_so;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output \xer_so$19 ;
reg \xer_so$19 = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg \xer_so$19$next ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire \xer_so$93 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output xer_so_ok;
reg xer_so_ok = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire \xer_so_ok$66 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire \xer_so_ok$94 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg \xer_so_ok$next ;
assign \$68 = \p_valid_i$67 & (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/singlepipe.py:629" *) p_ready_o;
always @(posedge coresync_clk)
wire [64:0] \$35 ;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/common_output_stage.py:42" *)
wire [63:0] \$36 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [64:0] \$39 ;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/common_output_stage.py:79" *)
wire \$41 ;
output \alu_op__zero_a$11 ;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/common_output_stage.py:73" *)
reg [3:0] cr0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
input [3:0] cr_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [3:0] \cr_a$26 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output cr_a_ok;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/common_output_stage.py:71" *)
wire is_cmp;
input [1:0] muxid;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/concurrentunit.py:45" *)
output [1:0] \muxid$1 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
input [63:0] o;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [63:0] \o$24 ;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/common_output_stage.py:39" *)
reg [64:0] \o$32 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
input o_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output \o_ok$25 ;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/common_output_stage.py:28" *)
wire oe;
reg so;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/common_output_stage.py:52" *)
wire [63:0] target;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
input [1:0] xer_ca;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [1:0] \xer_ca$27 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output xer_ca_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
input [1:0] xer_ov;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [1:0] \xer_ov$28 ;
reg [1:0] \xer_ov$28 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output xer_ov_ok;
reg xer_ov_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
input xer_so;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output \xer_so$29 ;
reg \xer_so$29 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output xer_so_ok;
reg xer_so_ok;
assign \$30 = alu_op__oe__oe & (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/common_output_stage.py:29" *) alu_op__oe__ok;
assign \$33 = ~ (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/common_output_stage.py:37" *) alu_op__sv_pred_dz;
assign \$36 = ~ (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/common_output_stage.py:42" *) o;
assign \$35 = + (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/common_output_stage.py:42" *) \$36 ;
- assign \$39 = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *) o;
+ assign \$39 = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *) o;
assign \$41 = alu_op__insn_type == (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/common_output_stage.py:79" *) 7'h0a;
assign \$43 = alu_op__insn_type == (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/common_output_stage.py:80" *) 7'h0c;
assign \$45 = | (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/common_output_stage.py:83" *) target;
wire \$23 ;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/common_output_stage.py:37" *)
wire \$26 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [64:0] \$28 ;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/common_output_stage.py:79" *)
wire \$30 ;
wire \$47 ;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/common_output_stage.py:73" *)
reg [3:0] cr0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
input [3:0] cr_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [3:0] \cr_a$20 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output cr_a_ok;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/common_output_stage.py:71" *)
wire is_cmp;
input [1:0] muxid;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/concurrentunit.py:45" *)
output [1:0] \muxid$1 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
input [63:0] o;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [63:0] \o$18 ;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/common_output_stage.py:39" *)
reg [64:0] \o$25 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
input o_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output \o_ok$19 ;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/common_output_stage.py:28" *)
wire oe;
reg so;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/common_output_stage.py:52" *)
wire [63:0] target;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
input [1:0] xer_ov;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [1:0] \xer_ov$21 ;
reg [1:0] \xer_ov$21 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output xer_ov_ok;
reg xer_ov_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
input xer_so;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output \xer_so$22 ;
reg \xer_so$22 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output xer_so_ok;
reg xer_so_ok;
assign \$23 = mul_op__oe__oe & (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/common_output_stage.py:29" *) mul_op__oe__ok;
assign \$26 = ~ (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/common_output_stage.py:37" *) mul_op__sv_pred_dz;
- assign \$28 = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *) o;
+ assign \$28 = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *) o;
assign \$30 = mul_op__insn_type == (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/common_output_stage.py:79" *) 7'h0a;
assign \$32 = mul_op__insn_type == (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/common_output_stage.py:80" *) 7'h0c;
assign \$34 = | (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/common_output_stage.py:83" *) target;
reg \initial = 0;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/common_output_stage.py:37" *)
wire \$28 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [64:0] \$30 ;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/common_output_stage.py:79" *)
wire \$32 ;
wire \$44 ;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/common_output_stage.py:73" *)
reg [3:0] cr0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
input [3:0] cr_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [3:0] \cr_a$25 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output cr_a_ok;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/common_output_stage.py:71" *)
wire is_cmp;
input [1:0] muxid;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/concurrentunit.py:45" *)
output [1:0] \muxid$1 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
input [63:0] o;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [63:0] \o$23 ;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/common_output_stage.py:39" *)
reg [64:0] \o$27 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
input o_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output \o_ok$24 ;
(* enum_base_type = "SVPtype" *)
(* enum_value_00 = "NONE" *)
output \sr_op__write_cr0$10 ;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/common_output_stage.py:52" *)
wire [63:0] target;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
input [1:0] xer_ca;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [1:0] \xer_ca$26 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output xer_ca_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
input xer_so;
assign \$28 = ~ (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/common_output_stage.py:37" *) sr_op__sv_pred_dz;
- assign \$30 = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *) o;
+ assign \$30 = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *) o;
assign \$32 = sr_op__insn_type == (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/common_output_stage.py:79" *) 7'h0a;
assign \$34 = sr_op__insn_type == (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/common_output_stage.py:80" *) 7'h0c;
assign \$36 = | (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/common_output_stage.py:83" *) target;
wire [64:0] \$30 ;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/common_output_stage.py:42" *)
wire [63:0] \$31 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [64:0] \$34 ;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/common_output_stage.py:79" *)
wire \$36 ;
wire \$48 ;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/common_output_stage.py:73" *)
reg [3:0] cr0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
input [3:0] cr_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [3:0] \cr_a$26 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output cr_a_ok;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/common_output_stage.py:71" *)
wire is_cmp;
input [1:0] muxid;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/concurrentunit.py:45" *)
output [1:0] \muxid$1 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
input [63:0] o;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [63:0] \o$24 ;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/common_output_stage.py:39" *)
reg [64:0] \o$27 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
input o_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output \o_ok$25 ;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/common_output_stage.py:52" *)
wire [63:0] target;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
input xer_so;
assign \$28 = ~ (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/common_output_stage.py:37" *) logical_op__sv_pred_dz;
assign \$31 = ~ (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/common_output_stage.py:42" *) o;
assign \$30 = + (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/common_output_stage.py:42" *) \$31 ;
- assign \$34 = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *) o;
+ assign \$34 = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *) o;
assign \$36 = logical_op__insn_type == (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/common_output_stage.py:79" *) 7'h0a;
assign \$38 = logical_op__insn_type == (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/common_output_stage.py:80" *) 7'h0c;
assign \$40 = | (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/common_output_stage.py:83" *) target;
wire [64:0] \$34 ;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/common_output_stage.py:42" *)
wire [63:0] \$35 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [64:0] \$38 ;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/common_output_stage.py:79" *)
wire \$40 ;
wire \$57 ;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/common_output_stage.py:73" *)
reg [3:0] cr0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
input [3:0] cr_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [3:0] \cr_a$26 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output cr_a_ok;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/common_output_stage.py:71" *)
wire is_cmp;
input [1:0] muxid;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/concurrentunit.py:45" *)
output [1:0] \muxid$1 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
input [63:0] o;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [63:0] \o$24 ;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/common_output_stage.py:39" *)
reg [64:0] \o$31 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
input o_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output \o_ok$25 ;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/common_output_stage.py:28" *)
wire oe;
reg so;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/common_output_stage.py:52" *)
wire [63:0] target;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
input [1:0] xer_ov;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [1:0] \xer_ov$27 ;
reg [1:0] \xer_ov$27 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output xer_ov_ok;
reg xer_ov_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
input xer_so;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output \xer_so$28 ;
reg \xer_so$28 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output xer_so_ok;
reg xer_so_ok;
assign \$29 = logical_op__oe__oe & (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/common_output_stage.py:29" *) logical_op__oe__ok;
assign \$32 = ~ (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/common_output_stage.py:37" *) logical_op__sv_pred_dz;
assign \$35 = ~ (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/common_output_stage.py:42" *) o;
assign \$34 = + (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/common_output_stage.py:42" *) \$35 ;
- assign \$38 = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *) o;
+ assign \$38 = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *) o;
assign \$40 = logical_op__insn_type == (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/common_output_stage.py:79" *) 7'h0a;
assign \$42 = logical_op__insn_type == (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/common_output_stage.py:80" *) 7'h0c;
assign \$44 = | (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/common_output_stage.py:83" *) target;
input [1:0] muxid;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/concurrentunit.py:45" *)
output [1:0] \muxid$1 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [63:0] o;
reg [63:0] o;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output o_ok;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/div/output_stage.py:75" *)
reg ov;
wire [31:0] remainder_s32;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/div/output_stage.py:99" *)
wire [63:0] remainder_s32_as_s64;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [1:0] xer_ov;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output xer_ov_ok;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
input xer_so;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output \xer_so$24 ;
assign \$25 = dividend_neg ^ (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/div/output_stage.py:55" *) divisor_neg;
assign \$27 = - (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/div/output_stage.py:65" *) quotient_root;
wire \lds_dly$next ;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/util.py:61" *)
wire lds_rise;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
input [47:0] ldst_port0_addr_i;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
input ldst_port0_addr_i_ok;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/pimem.py:110" *)
output ldst_port0_addr_ok_o;
input ldst_port0_is_ld_i;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/pimem.py:100" *)
input ldst_port0_is_st_i;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [63:0] ldst_port0_ld_data_o;
reg [63:0] ldst_port0_ld_data_o;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output ldst_port0_ld_data_o_ok;
reg ldst_port0_ld_data_o_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
input [63:0] ldst_port0_st_data_i;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
input ldst_port0_st_data_i_ok;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/scoreboard/addr_match.py:131" *)
reg [3:0] lenexp_addr_i;
input coresync_rst;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
input [3:0] cr_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [3:0] \cr_a$10 ;
reg [3:0] \cr_a$10 = 4'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg [3:0] \cr_a$10$next ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [3:0] \cr_a$36 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output cr_a_ok;
reg cr_a_ok = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire \cr_a_ok$37 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg \cr_a_ok$next ;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
input [3:0] cr_b;
reg [1:0] \cr_op__sv_saturate$7$next ;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
input [31:0] full_cr;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [31:0] \full_cr$34 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [31:0] \full_cr$9 ;
reg [31:0] \full_cr$9 = 32'd0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg [31:0] \full_cr$9$next ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output full_cr_ok;
reg full_cr_ok = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire \full_cr_ok$35 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg \full_cr_ok$next ;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
wire [3:0] main_cr_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [3:0] \main_cr_a$20 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire main_cr_a_ok;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
wire [3:0] main_cr_b;
wire [1:0] \main_cr_op__sv_saturate$17 ;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
wire [31:0] main_full_cr;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [31:0] \main_full_cr$19 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire main_full_cr_ok;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/concurrentunit.py:45" *)
wire [1:0] main_muxid;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/concurrentunit.py:45" *)
wire [1:0] \main_muxid$11 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [63:0] main_o;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire main_o_ok;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
wire [63:0] main_ra;
input n_ready_i;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:252" *)
output n_valid_o;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [63:0] o;
reg [63:0] o = 64'h0000000000000000;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [63:0] \o$32 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg [63:0] \o$next ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output o_ok;
reg o_ok = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire \o_ok$33 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg \o_ok$next ;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:160" *)
output p_ready_o;
input [3:0] cr_a;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
input [63:0] fast1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [63:0] \fast1$14 ;
reg [63:0] \fast1$14 = 64'h0000000000000000;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg [63:0] \fast1$14$next ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [63:0] \fast1$47 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output fast1_ok;
reg fast1_ok = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire \fast1_ok$48 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg \fast1_ok$next ;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
input [63:0] fast2;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [63:0] \fast2$15 ;
reg [63:0] \fast2$15 = 64'h0000000000000000;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg [63:0] \fast2$15$next ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [63:0] \fast2$49 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output fast2_ok;
reg fast2_ok = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire \fast2_ok$50 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg \fast2_ok$next ;
(* enum_base_type = "SVPtype" *)
(* enum_value_00 = "NONE" *)
wire [3:0] main_cr_a;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
wire [63:0] main_fast1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [63:0] \main_fast1$29 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire main_fast1_ok;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
wire [63:0] main_fast2;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [63:0] \main_fast2$30 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire main_fast2_ok;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/concurrentunit.py:45" *)
wire [1:0] main_muxid;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/concurrentunit.py:45" *)
wire [1:0] \main_muxid$16 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [63:0] main_nia;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire main_nia_ok;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/concurrentunit.py:45" *)
input [1:0] muxid;
input n_ready_i;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:252" *)
output n_valid_o;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [63:0] nia;
reg [63:0] nia = 64'h0000000000000000;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [63:0] \nia$51 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg [63:0] \nia$next ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output nia_ok;
reg nia_ok = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire \nia_ok$52 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg \nia_ok$next ;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:160" *)
output p_ready_o;
input coresync_rst;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
input [63:0] fast1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [63:0] \fast1$11 ;
reg [63:0] \fast1$11 = 64'h0000000000000000;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg [63:0] \fast1$11$next ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [63:0] \fast1$45 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output fast1_ok;
reg fast1_ok = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire \fast1_ok$46 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg \fast1_ok$next ;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/concurrentunit.py:45" *)
input [1:0] muxid;
input n_ready_i;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:252" *)
output n_valid_o;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [63:0] o;
reg [63:0] o = 64'h0000000000000000;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [63:0] \o$41 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg [63:0] \o$next ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output o_ok;
reg o_ok = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire \o_ok$42 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg \o_ok$next ;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:160" *)
output p_ready_o;
input [63:0] ra;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
input [63:0] spr1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [63:0] \spr1$10 ;
reg [63:0] \spr1$10 = 64'h0000000000000000;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg [63:0] \spr1$10$next ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [63:0] \spr1$43 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output spr1_ok;
reg spr1_ok = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire \spr1_ok$44 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg \spr1_ok$next ;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
wire [63:0] spr_main_fast1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [63:0] \spr_main_fast1$25 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire spr_main_fast1_ok;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/concurrentunit.py:45" *)
wire [1:0] spr_main_muxid;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/concurrentunit.py:45" *)
wire [1:0] \spr_main_muxid$15 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [63:0] spr_main_o;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire spr_main_o_ok;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
wire [63:0] spr_main_ra;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
wire [63:0] spr_main_spr1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [63:0] \spr_main_spr1$24 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire spr_main_spr1_ok;
(* enum_base_type = "SVPtype" *)
(* enum_value_00 = "NONE" *)
wire [1:0] \spr_main_spr_op__sv_saturate$22 ;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
wire [1:0] spr_main_xer_ca;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [1:0] \spr_main_xer_ca$28 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire spr_main_xer_ca_ok;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
wire [1:0] spr_main_xer_ov;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [1:0] \spr_main_xer_ov$27 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire spr_main_xer_ov_ok;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
wire spr_main_xer_so;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire \spr_main_xer_so$26 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire spr_main_xer_so_ok;
(* enum_base_type = "SVPtype" *)
(* enum_value_00 = "NONE" *)
reg [1:0] \spr_op__sv_saturate$8$next ;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
input [1:0] xer_ca;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [1:0] \xer_ca$14 ;
reg [1:0] \xer_ca$14 = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg [1:0] \xer_ca$14$next ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [1:0] \xer_ca$51 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output xer_ca_ok;
reg xer_ca_ok = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire \xer_ca_ok$52 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg \xer_ca_ok$next ;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
input [1:0] xer_ov;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [1:0] \xer_ov$13 ;
reg [1:0] \xer_ov$13 = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg [1:0] \xer_ov$13$next ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [1:0] \xer_ov$49 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output xer_ov_ok;
reg xer_ov_ok = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire \xer_ov_ok$50 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg \xer_ov_ok$next ;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
input xer_so;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output \xer_so$12 ;
reg \xer_so$12 = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg \xer_so$12$next ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire \xer_so$47 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output xer_so_ok;
reg xer_so_ok = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire \xer_so_ok$48 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg \xer_so_ok$next ;
assign \$30 = \p_valid_i$29 & (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/singlepipe.py:629" *) p_ready_o;
always @(posedge coresync_clk)
input coresync_clk;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/simple/issuer.py:932" *)
input coresync_rst;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [3:0] cr_a;
reg [3:0] cr_a = 4'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [3:0] \cr_a$106 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg [3:0] \cr_a$next ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output cr_a_ok;
reg cr_a_ok = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire \cr_a_ok$107 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg \cr_a_ok$next ;
(* enum_base_type = "SVPtype" *)
(* enum_value_00 = "NONE" *)
wire main_alu_op__zero_a;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/base_input_record.py:22" *)
wire \main_alu_op__zero_a$63 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [3:0] main_cr_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire main_cr_a_ok;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/concurrentunit.py:45" *)
wire [1:0] main_muxid;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/concurrentunit.py:45" *)
wire [1:0] \main_muxid$53 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [63:0] main_o;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire main_o_ok;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
wire [63:0] main_ra;
wire [63:0] main_rb;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
wire [1:0] main_xer_ca;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [1:0] \main_xer_ca$76 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire main_xer_ca_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [1:0] main_xer_ov;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire main_xer_ov_ok;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
wire main_xer_so;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire \main_xer_so$77 ;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/concurrentunit.py:45" *)
output [1:0] muxid;
input n_ready_i;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:252" *)
output n_valid_o;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [63:0] o;
reg [63:0] o = 64'h0000000000000000;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [63:0] \o$104 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg [63:0] \o$next ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output o_ok;
reg o_ok = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire \o_ok$105 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg \o_ok$next ;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:160" *)
output p_ready_o;
input [63:0] ra;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
input [63:0] rb;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [1:0] xer_ca;
reg [1:0] xer_ca = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [1:0] \xer_ca$108 ;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
input [1:0] \xer_ca$25 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg [1:0] \xer_ca$next ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output xer_ca_ok;
reg xer_ca_ok = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire \xer_ca_ok$109 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg \xer_ca_ok$next ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [1:0] xer_ov;
reg [1:0] xer_ov = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [1:0] \xer_ov$110 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg [1:0] \xer_ov$next ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output xer_ov_ok;
reg xer_ov_ok = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire \xer_ov_ok$111 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg \xer_ov_ok$next ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output xer_so;
reg xer_so = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire \xer_so$112 ;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
input \xer_so$24 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg \xer_so$next ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output xer_so_ok;
reg xer_so_ok = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire \xer_so_ok$113 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire \xer_so_ok$114 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg \xer_so_ok$next ;
assign \$79 = \p_valid_i$78 & (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/singlepipe.py:629" *) p_ready_o;
always @(posedge coresync_clk)
input coresync_clk;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/simple/issuer.py:932" *)
input coresync_rst;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [3:0] cr_a;
reg [3:0] cr_a = 4'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [3:0] \cr_a$103 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [3:0] \cr_a$105 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg [3:0] \cr_a$next ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output cr_a_ok;
reg cr_a_ok = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire \cr_a_ok$104 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire \cr_a_ok$106 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg \cr_a_ok$next ;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/concurrentunit.py:45" *)
wire [1:0] input_muxid;
wire [1:0] main_muxid;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/concurrentunit.py:45" *)
wire [1:0] \main_muxid$52 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [63:0] main_o;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire main_o_ok;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
wire [63:0] main_ra;
wire main_sr_op__write_cr0;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/base_input_record.py:22" *)
wire \main_sr_op__write_cr0$61 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [1:0] main_xer_ca;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
wire main_xer_so;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire \main_xer_so$74 ;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/concurrentunit.py:45" *)
output [1:0] muxid;
input n_ready_i;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:252" *)
output n_valid_o;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [63:0] o;
reg [63:0] o = 64'h0000000000000000;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [63:0] \o$101 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg [63:0] \o$next ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output o_ok;
reg o_ok = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire \o_ok$102 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg \o_ok$next ;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:160" *)
output p_ready_o;
wire \sr_op__write_cr0$88 ;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/base_input_record.py:22" *)
reg \sr_op__write_cr0$next ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [1:0] xer_ca;
reg [1:0] xer_ca = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [1:0] \xer_ca$110 ;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
input [1:0] \xer_ca$24 ;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
wire [1:0] \xer_ca$75 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg [1:0] \xer_ca$next ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output xer_ca_ok;
reg xer_ca_ok = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire \xer_ca_ok$111 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire \xer_ca_ok$112 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg \xer_ca_ok$next ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output xer_so;
reg xer_so = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire \xer_so$107 ;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
input \xer_so$23 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg \xer_so$next ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output xer_so_ok;
reg xer_so_ok = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire \xer_so_ok$108 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire \xer_so_ok$109 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg \xer_so_ok$next ;
assign \$77 = \p_valid_i$76 & (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/singlepipe.py:629" *) p_ready_o;
always @(posedge coresync_clk)
input coresync_clk;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/simple/issuer.py:932" *)
input coresync_rst;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
input [3:0] cr_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [3:0] \cr_a$26 ;
reg [3:0] \cr_a$26 = 4'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg [3:0] \cr_a$26$next ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [3:0] \cr_a$95 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
input cr_a_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output \cr_a_ok$27 ;
reg \cr_a_ok$27 = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg \cr_a_ok$27$next ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire \cr_a_ok$63 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire \cr_a_ok$96 ;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/concurrentunit.py:45" *)
input [1:0] muxid;
input n_ready_i;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:252" *)
output n_valid_o;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
input [63:0] o;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [63:0] \o$24 ;
reg [63:0] \o$24 = 64'h0000000000000000;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg [63:0] \o$24$next ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [63:0] \o$93 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
input o_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output \o_ok$25 ;
reg \o_ok$25 = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg \o_ok$25$next ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire \o_ok$94 ;
(* enum_base_type = "SVPtype" *)
(* enum_value_00 = "NONE" *)
wire output_alu_op__zero_a;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/base_input_record.py:22" *)
wire \output_alu_op__zero_a$44 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [3:0] output_cr_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [3:0] \output_cr_a$59 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire output_cr_a_ok;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/concurrentunit.py:45" *)
wire [1:0] output_muxid;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/concurrentunit.py:45" *)
wire [1:0] \output_muxid$34 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [63:0] output_o;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [63:0] \output_o$57 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire output_o_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire \output_o_ok$58 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [1:0] output_xer_ca;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [1:0] \output_xer_ca$60 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire output_xer_ca_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [1:0] output_xer_ov;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [1:0] \output_xer_ov$61 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire output_xer_ov_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire output_xer_so;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire \output_xer_so$62 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire output_xer_so_ok;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:160" *)
output p_ready_o;
reg r_busy = 1'h0;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/singlepipe.py:620" *)
reg \r_busy$next ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
input [1:0] xer_ca;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [1:0] \xer_ca$28 ;
reg [1:0] \xer_ca$28 = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg [1:0] \xer_ca$28$next ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [1:0] \xer_ca$97 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
input xer_ca_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output \xer_ca_ok$29 ;
reg \xer_ca_ok$29 = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg \xer_ca_ok$29$next ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire \xer_ca_ok$64 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire \xer_ca_ok$98 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
input [1:0] xer_ov;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [1:0] \xer_ov$30 ;
reg [1:0] \xer_ov$30 = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg [1:0] \xer_ov$30$next ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [1:0] \xer_ov$99 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
input xer_ov_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire \xer_ov_ok$100 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output \xer_ov_ok$31 ;
reg \xer_ov_ok$31 = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg \xer_ov_ok$31$next ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire \xer_ov_ok$65 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
input xer_so;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire \xer_so$101 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output \xer_so$32 ;
reg \xer_so$32 = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg \xer_so$32$next ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
input xer_so_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire \xer_so_ok$102 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output \xer_so_ok$33 ;
reg \xer_so_ok$33 = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg \xer_so_ok$33$next ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire \xer_so_ok$66 ;
assign \$68 = \p_valid_i$67 & (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/singlepipe.py:629" *) p_ready_o;
always @(posedge coresync_clk)
input coresync_clk;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/simple/issuer.py:932" *)
input coresync_rst;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
input [3:0] cr_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [3:0] \cr_a$25 ;
reg [3:0] \cr_a$25 = 4'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg [3:0] \cr_a$25$next ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [3:0] \cr_a$85 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
input cr_a_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output \cr_a_ok$26 ;
reg \cr_a_ok$26 = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg \cr_a_ok$26$next ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire \cr_a_ok$55 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire \cr_a_ok$86 ;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/concurrentunit.py:45" *)
input [1:0] muxid;
input n_ready_i;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:252" *)
output n_valid_o;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
input [63:0] o;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [63:0] \o$23 ;
reg [63:0] \o$23 = 64'h0000000000000000;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg [63:0] \o$23$next ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [63:0] \o$83 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
input o_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output \o_ok$24 ;
reg \o_ok$24 = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg \o_ok$24$next ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire \o_ok$84 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [3:0] output_cr_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [3:0] \output_cr_a$53 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire output_cr_a_ok;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/concurrentunit.py:45" *)
wire [1:0] output_muxid;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/concurrentunit.py:45" *)
wire [1:0] \output_muxid$29 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [63:0] output_o;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [63:0] \output_o$51 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire output_o_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire \output_o_ok$52 ;
(* enum_base_type = "SVPtype" *)
(* enum_value_00 = "NONE" *)
wire output_sr_op__write_cr0;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/base_input_record.py:22" *)
wire \output_sr_op__write_cr0$38 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [1:0] output_xer_ca;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [1:0] \output_xer_ca$54 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire output_xer_ca_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire output_xer_so;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:160" *)
output p_ready_o;
reg \sr_op__write_cr0$10$next ;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/base_input_record.py:22" *)
wire \sr_op__write_cr0$70 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
input [1:0] xer_ca;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [1:0] \xer_ca$27 ;
reg [1:0] \xer_ca$27 = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg [1:0] \xer_ca$27$next ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [1:0] \xer_ca$87 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
input xer_ca_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output \xer_ca_ok$28 ;
reg \xer_ca_ok$28 = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg \xer_ca_ok$28$next ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire \xer_ca_ok$57 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire \xer_ca_ok$88 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
input xer_so;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
input xer_so_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire \xer_so_ok$56 ;
assign \$59 = \p_valid_i$58 & (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/singlepipe.py:629" *) p_ready_o;
always @(posedge coresync_clk)
input coresync_rst;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
input [63:0] fast1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [63:0] \fast1$16 ;
reg [63:0] \fast1$16 = 64'h0000000000000000;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg [63:0] \fast1$16$next ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [63:0] \fast1$57 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output fast1_ok;
reg fast1_ok = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire \fast1_ok$58 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg \fast1_ok$next ;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
input [63:0] fast2;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [63:0] \fast2$17 ;
reg [63:0] \fast2$17 = 64'h0000000000000000;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg [63:0] \fast2$17$next ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [63:0] \fast2$59 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output fast2_ok;
reg fast2_ok = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire \fast2_ok$60 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg \fast2_ok$next ;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
input [63:0] fast3;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [63:0] \fast3$18 ;
reg [63:0] \fast3$18 = 64'h0000000000000000;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg [63:0] \fast3$18$next ;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
wire [63:0] \fast3$36 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [63:0] \fast3$61 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output fast3_ok;
reg fast3_ok = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire \fast3_ok$62 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg \fast3_ok$next ;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
wire [63:0] main_fast1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [63:0] \main_fast1$34 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire main_fast1_ok;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
wire [63:0] main_fast2;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [63:0] \main_fast2$35 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire main_fast2_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [63:0] main_fast3;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire main_fast3_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [63:0] main_msr;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire main_msr_ok;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/concurrentunit.py:45" *)
wire [1:0] main_muxid;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/concurrentunit.py:45" *)
wire [1:0] \main_muxid$19 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [63:0] main_nia;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire main_nia_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [63:0] main_o;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire main_o_ok;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
wire [63:0] main_ra;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
wire [63:0] main_rb;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [31:0] main_svstate;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire main_svstate_ok;
(* enum_base_type = "SVPtype" *)
(* enum_value_00 = "NONE" *)
wire [7:0] main_trap_op__traptype;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/base_input_record.py:22" *)
wire [7:0] \main_trap_op__traptype$27 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [63:0] msr;
reg [63:0] msr = 64'h0000000000000000;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [63:0] \msr$65 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg [63:0] \msr$next ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output msr_ok;
reg msr_ok = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire \msr_ok$66 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg \msr_ok$next ;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/concurrentunit.py:45" *)
input [1:0] muxid;
input n_ready_i;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:252" *)
output n_valid_o;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [63:0] nia;
reg [63:0] nia = 64'h0000000000000000;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [63:0] \nia$63 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg [63:0] \nia$next ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output nia_ok;
reg nia_ok = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire \nia_ok$64 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg \nia_ok$next ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [63:0] o;
reg [63:0] o = 64'h0000000000000000;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [63:0] \o$55 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg [63:0] \o$next ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output o_ok;
reg o_ok = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire \o_ok$56 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg \o_ok$next ;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:160" *)
output p_ready_o;
input [63:0] ra;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
input [63:0] rb;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [31:0] svstate;
reg [31:0] svstate = 32'd0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [31:0] \svstate$67 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg [31:0] \svstate$next ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output svstate_ok;
reg svstate_ok = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire \svstate_ok$68 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg \svstate_ok$next ;
(* enum_base_type = "SVPtype" *)
(* enum_value_00 = "NONE" *)
input coresync_clk;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/simple/issuer.py:932" *)
input coresync_rst;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [3:0] cr_a;
reg [3:0] cr_a = 4'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [3:0] \cr_a$113 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [3:0] \cr_a$80 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg [3:0] \cr_a$next ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output cr_a_ok;
reg cr_a_ok = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire \cr_a_ok$114 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire \cr_a_ok$79 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire \cr_a_ok$81 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg \cr_a_ok$next ;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/div/pipe_data.py:162" *)
input div_by_zero;
input n_ready_i;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:252" *)
output n_valid_o;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [63:0] o;
reg [63:0] o = 64'h0000000000000000;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [63:0] \o$111 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg [63:0] \o$next ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output o_ok;
reg o_ok = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire \o_ok$112 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg \o_ok$next ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [3:0] output_cr_a;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [3:0] \output_cr_a$74 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire output_cr_a_ok;
(* enum_base_type = "SVPtype" *)
(* enum_value_00 = "NONE" *)
wire [1:0] output_muxid;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/concurrentunit.py:45" *)
wire [1:0] \output_muxid$49 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [63:0] output_o;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [63:0] \output_o$72 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire output_o_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire \output_o_ok$73 ;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/div/pipe_data.py:162" *)
wire output_stage_div_by_zero;
wire [1:0] output_stage_muxid;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/concurrentunit.py:45" *)
wire [1:0] \output_stage_muxid$25 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [63:0] output_stage_o;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire output_stage_o_ok;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/div/fsm.py:40" *)
wire [63:0] output_stage_quotient_root;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/div/fsm.py:41" *)
wire [191:0] output_stage_remainder;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [1:0] output_stage_xer_ov;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire output_stage_xer_ov_ok;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
wire output_stage_xer_so;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire \output_stage_xer_so$48 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [1:0] output_xer_ov;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [1:0] \output_xer_ov$75 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire output_xer_ov_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire output_xer_so;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire \output_xer_so$76 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire output_xer_so_ok;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:160" *)
output p_ready_o;
wire [63:0] \rb$78 ;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/div/fsm.py:41" *)
input [191:0] remainder;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [1:0] xer_ov;
reg [1:0] xer_ov = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [1:0] \xer_ov$115 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg [1:0] \xer_ov$next ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output xer_ov_ok;
reg xer_ov_ok = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire \xer_ov_ok$116 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire \xer_ov_ok$82 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg \xer_ov_ok$next ;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
input xer_so;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire \xer_so$117 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output \xer_so$24 ;
reg \xer_so$24 = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg \xer_so$24$next ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output xer_so_ok;
reg xer_so_ok = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire \xer_so_ok$118 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire \xer_so_ok$83 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire \xer_so_ok$84 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg \xer_so_ok$next ;
assign \$86 = \p_valid_i$85 & (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/singlepipe.py:629" *) p_ready_o;
always @(posedge coresync_clk)
wire alu_pulse;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/compalu_multi.py:198" *)
wire [2:0] alu_pulsem;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [3:0] alu_shift_rot0_cr_a;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:253" *)
wire alu_shift_rot0_n_ready_i;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:252" *)
wire alu_shift_rot0_n_valid_o;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [63:0] alu_shift_rot0_o;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:160" *)
wire alu_shift_rot0_p_ready_o;
reg alu_shift_rot0_sr_op__write_cr0 = 1'h0;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/base_input_record.py:22" *)
reg \alu_shift_rot0_sr_op__write_cr0$next ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [1:0] alu_shift_rot0_xer_ca;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
wire [1:0] \alu_shift_rot0_xer_ca$1 ;
input coresync_clk;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/simple/issuer.py:932" *)
input coresync_rst;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output cr_a_ok;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/compalu_multi.py:107" *)
output cu_busy_o;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/compalu_multi.py:84" *)
output [1:0] dest3_o;
reg [1:0] dest3_o;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output o_ok;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/latch.py:67" *)
wire opc_l_q_opc;
wire src_sel;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/compalu_multi.py:211" *)
wire wr_any;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output xer_ca_ok;
assign \$100 = ~ (* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/compalu_multi.py:347" *) cu_rdmaskn_i;
assign \$102 = \$98 & (* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/compalu_multi.py:347" *) \$100 ;
wire alu_pulse;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/compalu_multi.py:198" *)
wire [5:0] alu_pulsem;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [63:0] alu_spr0_fast1;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
wire [63:0] \alu_spr0_fast1$2 ;
wire alu_spr0_n_ready_i;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:252" *)
wire alu_spr0_n_valid_o;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [63:0] alu_spr0_o;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:160" *)
wire alu_spr0_p_ready_o;
wire alu_spr0_p_valid_i;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
wire [63:0] alu_spr0_ra;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [63:0] alu_spr0_spr1;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
wire [63:0] \alu_spr0_spr1$1 ;
reg [1:0] alu_spr0_spr_op__sv_saturate = 2'h0;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/base_input_record.py:22" *)
reg [1:0] \alu_spr0_spr_op__sv_saturate$next ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [1:0] alu_spr0_xer_ca;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
wire [1:0] \alu_spr0_xer_ca$5 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [1:0] alu_spr0_xer_ov;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
wire [1:0] \alu_spr0_xer_ov$4 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire alu_spr0_xer_so;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
wire \alu_spr0_xer_so$3 ;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/compalu_multi.py:84" *)
output [1:0] dest6_o;
reg [1:0] dest6_o;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output fast1_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output o_ok;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/latch.py:67" *)
wire opc_l_q_opc;
reg \rst_l_s_rst$next ;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/compalu_multi.py:227" *)
wire rst_r;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output spr1_ok;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/compalu_multi.py:72" *)
input [63:0] src1_i;
reg [1:0] \src_r5$next ;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/compalu_multi.py:211" *)
wire wr_any;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output xer_ca_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output xer_ov_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output xer_so_ok;
assign \$9 = ~ (* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/compalu_multi.py:189" *) cu_rd__rel_o;
assign \$100 = alu_spr0_n_valid_o & (* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/compalu_multi.py:334" *) alu_l_q_alu;
wire \$27 ;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
input [63:0] fast1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [63:0] \fast1$11 ;
reg [63:0] \fast1$11 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output fast1_ok;
reg fast1_ok;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/concurrentunit.py:45" *)
input [1:0] muxid;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/concurrentunit.py:45" *)
output [1:0] \muxid$1 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [63:0] o;
reg [63:0] o;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output o_ok;
reg o_ok;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
wire [9:0] spr;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
input [63:0] spr1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [63:0] \spr1$10 ;
reg [63:0] \spr1$10 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output spr1_ok;
reg spr1_ok;
(* enum_base_type = "SVPtype" *)
output [1:0] \spr_op__sv_saturate$8 ;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
input [1:0] xer_ca;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [1:0] \xer_ca$14 ;
reg [1:0] \xer_ca$14 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output xer_ca_ok;
reg xer_ca_ok;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
input [1:0] xer_ov;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [1:0] \xer_ov$13 ;
reg [1:0] \xer_ov$13 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output xer_ov_ok;
reg xer_ov_ok;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
input xer_so;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output \xer_so$12 ;
reg \xer_so$12 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output xer_so_ok;
reg xer_so_ok;
assign \$15 = spr == (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/spr/main_stage.py:64" *) 10'h001;
(* generator = "nMigen" *)
module sprmap(spr_o, spr_o_ok, fast_o, fast_o_ok, spr_i);
reg \initial = 0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [2:0] fast_o;
reg [2:0] fast_o;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output fast_o_ok;
reg fast_o_ok;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:75" *)
(* enum_value_1110000000 = "PPR" *)
(* enum_value_1110000010 = "PPR32" *)
(* enum_value_1111111111 = "PIR" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [9:0] spr_o;
reg [9:0] spr_o;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output spr_o_ok;
reg spr_o_ok;
always @* begin
(* generator = "nMigen" *)
module \sprmap$174 (spr_o, spr_o_ok, fast_o, fast_o_ok, spr_i);
reg \initial = 0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [2:0] fast_o;
reg [2:0] fast_o;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output fast_o_ok;
reg fast_o_ok;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:75" *)
(* enum_value_1110000000 = "PPR" *)
(* enum_value_1110000010 = "PPR32" *)
(* enum_value_1111111111 = "PIR" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output [9:0] spr_o;
reg [9:0] spr_o;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output spr_o_ok;
reg spr_o_ok;
always @* begin
reg \initial = 0;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/bus/SPBlock512W64B8W.py:62" *)
wire \$1 ;
+ (* src = "/home/lkcl/src/libresoc/soc/src/soc/bus/SPBlock512W64B8W.py:65" *)
+ wire \$3 ;
+ (* src = "/home/lkcl/src/libresoc/soc/src/soc/bus/SPBlock512W64B8W.py:65" *)
+ wire \$5 ;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/bus/SPBlock512W64B8W.py:44" *)
reg [8:0] a;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/simple/issuer.py:931" *)
(* src = "/home/lkcl/src/libresoc/soc/src/soc/bus/SPBlock512W64B8W.py:45" *)
reg [7:0] we;
assign \$1 = sram4k_0_wb__cyc & (* src = "/home/lkcl/src/libresoc/soc/src/soc/bus/SPBlock512W64B8W.py:62" *) sram4k_0_wb__stb;
+ assign \$3 = ~ (* src = "/home/lkcl/src/libresoc/soc/src/soc/bus/SPBlock512W64B8W.py:65" *) sram4k_0_wb__ack;
+ assign \$5 = wb_active & (* src = "/home/lkcl/src/libresoc/soc/src/soc/bus/SPBlock512W64B8W.py:65" *) \$3 ;
always @(posedge clk)
sram4k_0_wb__ack <= \sram4k_0_wb__ack$next ;
spblock_512w64b8w spblock_512w64b8w_0 (
casez (enable)
/* src = "/home/lkcl/src/libresoc/soc/src/soc/bus/SPBlock512W64B8W.py:59" */
1'h1:
- \sram4k_0_wb__ack$next = wb_active;
+ \sram4k_0_wb__ack$next = \$5 ;
endcase
(* src = "/home/lkcl/src/libresoc/nmigen/nmigen/hdl/xfrm.py:532" *)
casez (rst)
reg \initial = 0;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/bus/SPBlock512W64B8W.py:62" *)
wire \$1 ;
+ (* src = "/home/lkcl/src/libresoc/soc/src/soc/bus/SPBlock512W64B8W.py:65" *)
+ wire \$3 ;
+ (* src = "/home/lkcl/src/libresoc/soc/src/soc/bus/SPBlock512W64B8W.py:65" *)
+ wire \$5 ;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/bus/SPBlock512W64B8W.py:44" *)
reg [8:0] a;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/simple/issuer.py:931" *)
(* src = "/home/lkcl/src/libresoc/soc/src/soc/bus/SPBlock512W64B8W.py:45" *)
reg [7:0] we;
assign \$1 = sram4k_1_wb__cyc & (* src = "/home/lkcl/src/libresoc/soc/src/soc/bus/SPBlock512W64B8W.py:62" *) sram4k_1_wb__stb;
+ assign \$3 = ~ (* src = "/home/lkcl/src/libresoc/soc/src/soc/bus/SPBlock512W64B8W.py:65" *) sram4k_1_wb__ack;
+ assign \$5 = wb_active & (* src = "/home/lkcl/src/libresoc/soc/src/soc/bus/SPBlock512W64B8W.py:65" *) \$3 ;
always @(posedge clk)
sram4k_1_wb__ack <= \sram4k_1_wb__ack$next ;
spblock_512w64b8w spblock_512w64b8w_1 (
casez (enable)
/* src = "/home/lkcl/src/libresoc/soc/src/soc/bus/SPBlock512W64B8W.py:59" */
1'h1:
- \sram4k_1_wb__ack$next = wb_active;
+ \sram4k_1_wb__ack$next = \$5 ;
endcase
(* src = "/home/lkcl/src/libresoc/nmigen/nmigen/hdl/xfrm.py:532" *)
casez (rst)
reg \initial = 0;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/bus/SPBlock512W64B8W.py:62" *)
wire \$1 ;
+ (* src = "/home/lkcl/src/libresoc/soc/src/soc/bus/SPBlock512W64B8W.py:65" *)
+ wire \$3 ;
+ (* src = "/home/lkcl/src/libresoc/soc/src/soc/bus/SPBlock512W64B8W.py:65" *)
+ wire \$5 ;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/bus/SPBlock512W64B8W.py:44" *)
reg [8:0] a;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/simple/issuer.py:931" *)
(* src = "/home/lkcl/src/libresoc/soc/src/soc/bus/SPBlock512W64B8W.py:45" *)
reg [7:0] we;
assign \$1 = sram4k_2_wb__cyc & (* src = "/home/lkcl/src/libresoc/soc/src/soc/bus/SPBlock512W64B8W.py:62" *) sram4k_2_wb__stb;
+ assign \$3 = ~ (* src = "/home/lkcl/src/libresoc/soc/src/soc/bus/SPBlock512W64B8W.py:65" *) sram4k_2_wb__ack;
+ assign \$5 = wb_active & (* src = "/home/lkcl/src/libresoc/soc/src/soc/bus/SPBlock512W64B8W.py:65" *) \$3 ;
always @(posedge clk)
sram4k_2_wb__ack <= \sram4k_2_wb__ack$next ;
spblock_512w64b8w spblock_512w64b8w_2 (
casez (enable)
/* src = "/home/lkcl/src/libresoc/soc/src/soc/bus/SPBlock512W64B8W.py:59" */
1'h1:
- \sram4k_2_wb__ack$next = wb_active;
+ \sram4k_2_wb__ack$next = \$5 ;
endcase
(* src = "/home/lkcl/src/libresoc/nmigen/nmigen/hdl/xfrm.py:532" *)
casez (rst)
reg \initial = 0;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/bus/SPBlock512W64B8W.py:62" *)
wire \$1 ;
+ (* src = "/home/lkcl/src/libresoc/soc/src/soc/bus/SPBlock512W64B8W.py:65" *)
+ wire \$3 ;
+ (* src = "/home/lkcl/src/libresoc/soc/src/soc/bus/SPBlock512W64B8W.py:65" *)
+ wire \$5 ;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/bus/SPBlock512W64B8W.py:44" *)
reg [8:0] a;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/simple/issuer.py:931" *)
(* src = "/home/lkcl/src/libresoc/soc/src/soc/bus/SPBlock512W64B8W.py:45" *)
reg [7:0] we;
assign \$1 = sram4k_3_wb__cyc & (* src = "/home/lkcl/src/libresoc/soc/src/soc/bus/SPBlock512W64B8W.py:62" *) sram4k_3_wb__stb;
+ assign \$3 = ~ (* src = "/home/lkcl/src/libresoc/soc/src/soc/bus/SPBlock512W64B8W.py:65" *) sram4k_3_wb__ack;
+ assign \$5 = wb_active & (* src = "/home/lkcl/src/libresoc/soc/src/soc/bus/SPBlock512W64B8W.py:65" *) \$3 ;
always @(posedge clk)
sram4k_3_wb__ack <= \sram4k_3_wb__ack$next ;
spblock_512w64b8w spblock_512w64b8w_3 (
casez (enable)
/* src = "/home/lkcl/src/libresoc/soc/src/soc/bus/SPBlock512W64B8W.py:59" */
1'h1:
- \sram4k_3_wb__ack$next = wb_active;
+ \sram4k_3_wb__ack$next = \$5 ;
endcase
(* src = "/home/lkcl/src/libresoc/nmigen/nmigen/hdl/xfrm.py:532" *)
casez (rst)
output mtwi_sda__pad__o;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/debug/jtag.py:75" *)
output mtwi_sda__pad__oe;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
input [63:0] pc_i;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
input pc_i_ok;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/simple/issuer.py:237" *)
output [63:0] pc_o;
wire ti_coresync_clk;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/clock/dummypll.py:10" *)
wire wrappll_clk_24_i;
- (* src = "/home/lkcl/src/libresoc/soc/src/soc/clock/dummypll.py:13" *)
+ (* src = "/home/lkcl/src/libresoc/soc/src/soc/clock/dummypll.py:12" *)
wire wrappll_clk_pll_o;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/clock/dummypll.py:11" *)
wire [1:0] wrappll_clk_sel_i;
- (* src = "/home/lkcl/src/libresoc/soc/src/soc/clock/dummypll.py:14" *)
+ (* src = "/home/lkcl/src/libresoc/soc/src/soc/clock/dummypll.py:13" *)
wire wrappll_pll_test_o;
- (* src = "/home/lkcl/src/libresoc/soc/src/soc/clock/dummypll.py:15" *)
+ (* src = "/home/lkcl/src/libresoc/soc/src/soc/clock/dummypll.py:14" *)
wire wrappll_pll_vco_o;
ti ti (
.TAP_bus__tck(TAP_bus__tck),
.pll_test_o(wrappll_pll_test_o),
.pll_vco_o(wrappll_pll_vco_o)
);
- assign ti_coresync_clk = wrappll_clk_pll_o;
+ assign ti_coresync_clk = pllclk_clk;
assign pllclk_rst = rst;
assign wrappll_clk_sel_i = clk_sel_i;
assign pll_vco_o = wrappll_pll_vco_o;
wire \$36 ;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/simple/issuer.py:66" *)
wire \$38 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [63:0] \$40 ;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/simple/issuer.py:66" *)
wire \$42 ;
output busy_o;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/simple/issuer.py:931" *)
input clk;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:104" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:105" *)
reg [7:0] core_asmcode = 8'h00;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:104" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:105" *)
reg [7:0] \core_asmcode$next ;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/simple/issuer.py:240" *)
input core_bigendian_i;
reg [1:0] core_core_core__sv_saturate = 2'h0;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:97" *)
reg [1:0] \core_core_core__sv_saturate$next ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:46" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:47" *)
reg [63:0] core_core_core_cia = 64'h0000000000000000;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:46" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:47" *)
reg [63:0] \core_core_core_cia$next ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg [7:0] core_core_core_cr_rd = 8'h00;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg [7:0] \core_core_core_cr_rd$next ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg core_core_core_cr_rd_ok = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg \core_core_core_cr_rd_ok$next ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg [7:0] core_core_core_cr_wr = 8'h00;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg [7:0] \core_core_core_cr_wr$next ;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/exceptions.py:14" *)
reg core_core_core_exc_alignment = 1'h0;
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:52" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:53" *)
reg [14:0] core_core_core_fn_unit = 15'h0000;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:52" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:53" *)
reg [14:0] \core_core_core_fn_unit$next ;
(* enum_base_type = "CryIn" *)
(* enum_value_00 = "ZERO" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "CA" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:56" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:57" *)
reg [1:0] core_core_core_input_carry = 2'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:56" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:57" *)
reg [1:0] \core_core_core_input_carry$next ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:50" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:51" *)
reg [31:0] core_core_core_insn = 32'd0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:50" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:51" *)
reg [31:0] \core_core_core_insn$next ;
(* enum_base_type = "MicrOp" *)
(* enum_value_0000000 = "OP_ILLEGAL" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:51" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:52" *)
reg [6:0] core_core_core_insn_type = 7'h00;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:51" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:52" *)
reg [6:0] \core_core_core_insn_type$next ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:62" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:63" *)
reg core_core_core_is_32bit = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:62" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:63" *)
reg \core_core_core_is_32bit$next ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:45" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:46" *)
reg [63:0] core_core_core_msr = 64'h0000000000000000;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:45" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:46" *)
reg [63:0] \core_core_core_msr$next ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg core_core_core_oe = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg \core_core_core_oe$next ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg core_core_core_oe_ok = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg \core_core_core_oe_ok$next ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg core_core_core_rc = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg \core_core_core_rc$next ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg core_core_core_rc_ok = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg \core_core_core_rc_ok$next ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:47" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:48" *)
reg [31:0] core_core_core_svstate = 32'd0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:47" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:48" *)
reg [31:0] \core_core_core_svstate$next ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:59" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:60" *)
reg [12:0] core_core_core_trapaddr = 13'h0000;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:59" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:60" *)
reg [12:0] \core_core_core_trapaddr$next ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:57" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:58" *)
reg [7:0] core_core_core_traptype = 8'h00;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:57" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:58" *)
reg [7:0] \core_core_core_traptype$next ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg [6:0] core_core_cr_in1 = 7'h00;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg [6:0] \core_core_cr_in1$next ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg core_core_cr_in1_ok = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg \core_core_cr_in1_ok$next ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg [6:0] core_core_cr_in2 = 7'h00;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg [6:0] \core_core_cr_in2$1 = 7'h00;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg [6:0] \core_core_cr_in2$1$next ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg [6:0] \core_core_cr_in2$next ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg core_core_cr_in2_ok = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg \core_core_cr_in2_ok$2 = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg \core_core_cr_in2_ok$2$next ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg \core_core_cr_in2_ok$next ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg [6:0] core_core_cr_out = 7'h00;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg [6:0] \core_core_cr_out$next ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg core_core_cr_wr_ok = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg \core_core_cr_wr_ok$next ;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/sv/svstate.py:28" *)
reg [6:0] core_core_dststep = 7'h00;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/sv/svstate.py:28" *)
reg [6:0] \core_core_dststep$next ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg [6:0] core_core_ea = 7'h00;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg [6:0] \core_core_ea$next ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg [2:0] core_core_fast1 = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg [2:0] \core_core_fast1$next ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg core_core_fast1_ok = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg \core_core_fast1_ok$next ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg [2:0] core_core_fast2 = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg [2:0] \core_core_fast2$next ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg core_core_fast2_ok = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg \core_core_fast2_ok$next ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg [2:0] core_core_fast3 = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg [2:0] \core_core_fast3$next ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg core_core_fast3_ok = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg \core_core_fast3_ok$next ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg [2:0] core_core_fasto1 = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg [2:0] \core_core_fasto1$next ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg [2:0] core_core_fasto2 = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg [2:0] \core_core_fasto2$next ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg [2:0] core_core_fasto3 = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg [2:0] \core_core_fasto3$next ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:53" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:54" *)
reg core_core_lk = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:53" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:54" *)
reg \core_core_lk$next ;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/sv/svstate.py:31" *)
reg [6:0] core_core_maxvl = 7'h00;
reg [63:0] core_core_pc = 64'h0000000000000000;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/state.py:16" *)
reg [63:0] \core_core_pc$next ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg [6:0] core_core_reg1 = 7'h00;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg [6:0] \core_core_reg1$next ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg core_core_reg1_ok = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg \core_core_reg1_ok$next ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg [6:0] core_core_reg2 = 7'h00;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg [6:0] \core_core_reg2$next ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg core_core_reg2_ok = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg \core_core_reg2_ok$next ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg [6:0] core_core_reg3 = 7'h00;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg [6:0] \core_core_reg3$next ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg core_core_reg3_ok = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg \core_core_reg3_ok$next ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg [6:0] core_core_rego = 7'h00;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg [6:0] \core_core_rego$next ;
(* enum_base_type = "SPR" *)
(* enum_value_0000010010 = "DSISR" *)
(* enum_value_1011000000 = "SVSTATE" *)
(* enum_value_1011010000 = "PRTBL" *)
(* enum_value_1011010001 = "SVSRR0" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg [9:0] core_core_spr1 = 10'h000;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg [9:0] \core_core_spr1$next ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg core_core_spr1_ok = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg \core_core_spr1_ok$next ;
(* enum_base_type = "SPR" *)
(* enum_value_0000010010 = "DSISR" *)
(* enum_value_1011000000 = "SVSTATE" *)
(* enum_value_1011010000 = "PRTBL" *)
(* enum_value_1011010001 = "SVSRR0" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg [9:0] core_core_spro = 10'h000;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg [9:0] \core_core_spro$next ;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/sv/svstate.py:29" *)
reg [6:0] core_core_srcstep = 7'h00;
reg [6:0] core_core_vl = 7'h00;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/sv/svstate.py:30" *)
reg [6:0] \core_core_vl$next ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:114" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:115" *)
reg [2:0] core_core_xer_in = 3'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:114" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:115" *)
reg [2:0] \core_core_xer_in$next ;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/simple/core.py:120" *)
wire core_corebusy_o;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/simple/issuer.py:932" *)
wire core_coresync_rst;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg core_cr_out_ok = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg \core_cr_out_ok$next ;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/compalu_multi.py:34" *)
wire core_cu_ad__go_i;
wire [63:0] core_dmi__data_o;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:97" *)
reg core_dmi__ren;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg core_ea_ok = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg \core_ea_ok$next ;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/state.py:18" *)
reg core_eint = 1'h0;
reg \core_eint$next ;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/exceptions.py:14" *)
wire core_exc_o_happened;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg core_fasto1_ok = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg \core_fasto1_ok$next ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg core_fasto2_ok = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg \core_fasto2_ok$next ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg core_fasto3_ok = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg \core_fasto3_ok$next ;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:97" *)
wire [31:0] core_full_rd2__data_o;
reg [31:0] core_raw_insn_i = 32'd0;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/simple/core.py:110" *)
reg [31:0] \core_raw_insn_i$next ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg core_rego_ok = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg \core_rego_ok$next ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg core_spro_ok = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
reg \core_spro_ok$next ;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:97" *)
wire [2:0] core_state_nia_wen;
reg [2:0] core_wen;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:97" *)
reg [2:0] \core_wen$4 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:115" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:116" *)
reg core_xer_out = 1'h0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:115" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:116" *)
reg \core_xer_out$next ;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/simple/issuer.py:932" *)
input coresync_clk;
(* enum_value_10 = "P2" *)
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:97" *)
wire [1:0] dec2_SV_Ptype;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:104" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:105" *)
wire [7:0] dec2_asmcode;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:479" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:481" *)
wire dec2_bigendian;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:46" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:47" *)
wire [63:0] dec2_cia;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [6:0] dec2_cr_in1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire dec2_cr_in1_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [6:0] dec2_cr_in2;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [6:0] \dec2_cr_in2$7 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire dec2_cr_in2_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire \dec2_cr_in2_ok$8 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [6:0] dec2_cr_out;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire dec2_cr_out_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [7:0] dec2_cr_rd;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire dec2_cr_rd_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [7:0] dec2_cr_wr;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire dec2_cr_wr_ok;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/sv/svstate.py:28" *)
reg [6:0] dec2_cur_cur_dststep = 7'h00;
reg [63:0] dec2_cur_pc = 64'h0000000000000000;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/state.py:16" *)
reg [63:0] \dec2_cur_pc$next ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [6:0] dec2_ea;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire dec2_ea_ok;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/exceptions.py:14" *)
wire dec2_exc_alignment;
wire dec2_exc_rc_error;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/exceptions.py:14" *)
wire dec2_exc_segment_fault;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [2:0] dec2_fast1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire dec2_fast1_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [2:0] dec2_fast2;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire dec2_fast2_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [2:0] dec2_fast3;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire dec2_fast3_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [2:0] dec2_fasto1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire dec2_fasto1_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [2:0] dec2_fasto2;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire dec2_fasto2_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [2:0] dec2_fasto3;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire dec2_fasto3_ok;
(* enum_base_type = "Function" *)
(* enum_value_000000000000000 = "NONE" *)
(* enum_value_001000000000000 = "SV" *)
(* enum_value_010000000000000 = "VL" *)
(* enum_value_100000000000000 = "FPU" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:52" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:53" *)
wire [14:0] dec2_fn_unit;
(* enum_base_type = "CryIn" *)
(* enum_value_00 = "ZERO" *)
(* enum_value_01 = "ONE" *)
(* enum_value_10 = "CA" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:56" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:57" *)
wire [1:0] dec2_input_carry;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:50" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:51" *)
wire [31:0] dec2_insn;
(* enum_base_type = "MicrOp" *)
(* enum_value_0000000 = "OP_ILLEGAL" *)
(* enum_value_1001100 = "OP_SETVL" *)
(* enum_value_1001101 = "OP_FPOP" *)
(* enum_value_1001110 = "OP_FPOP_I" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:51" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:52" *)
wire [6:0] dec2_insn_type;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:62" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:63" *)
wire dec2_is_32bit;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:53" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:54" *)
wire dec2_lk;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:45" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:46" *)
wire [63:0] dec2_msr;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire dec2_oe;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire dec2_oe_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:478" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:480" *)
reg [31:0] dec2_raw_opcode_in = 32'd0;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:478" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:480" *)
reg [31:0] \dec2_raw_opcode_in$next ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire dec2_rc;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire dec2_rc_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [6:0] dec2_reg1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire dec2_reg1_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [6:0] dec2_reg2;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire dec2_reg2_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [6:0] dec2_reg3;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire dec2_reg3_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [6:0] dec2_rego;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire dec2_rego_ok;
(* enum_base_type = "SPR" *)
(* enum_value_0000000001 = "XER" *)
(* enum_value_1110000000 = "PPR" *)
(* enum_value_1110000010 = "PPR32" *)
(* enum_value_1111111111 = "PIR" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [9:0] dec2_spr1;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire dec2_spr1_ok;
(* enum_base_type = "SPR" *)
(* enum_value_0000000001 = "XER" *)
(* enum_value_1110000000 = "PPR" *)
(* enum_value_1110000010 = "PPR32" *)
(* enum_value_1111111111 = "PIR" *)
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [9:0] dec2_spro;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire dec2_spro_ok;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:97" *)
wire dec2_sv_pred_dz;
(* enum_value_10 = "UNSIGNED" *)
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:97" *)
wire [1:0] dec2_sv_saturate;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:47" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:48" *)
wire [31:0] dec2_svstate;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:59" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:60" *)
wire [12:0] dec2_trapaddr;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:57" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:58" *)
wire [7:0] dec2_traptype;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:114" *)
- wire [2:0] dec2_xer_in;
(* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:115" *)
+ wire [2:0] dec2_xer_in;
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:116" *)
wire dec2_xer_out;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/simple/issuer.py:936" *)
reg [1:0] delay = 2'h3;
reg pc_changed = 1'h0;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/simple/issuer.py:977" *)
reg \pc_changed$next ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
input [63:0] pc_i;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
input pc_i_ok;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/simple/issuer.py:237" *)
output [63:0] pc_o;
reg \sv_changed$next ;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/simple/issuer.py:64" *)
reg [63:0] svstate;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [31:0] svstate_i;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire svstate_i_ok;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/simple/issuer.py:65" *)
reg svstate_ok_delay = 1'h0;
assign \$34 = ~ (* src = "/home/lkcl/src/libresoc/soc/src/soc/simple/issuer.py:66" *) core_coresync_rst;
assign \$36 = ~ (* src = "/home/lkcl/src/libresoc/soc/src/soc/simple/issuer.py:67" *) svstate_i_ok;
assign \$38 = ~ (* src = "/home/lkcl/src/libresoc/soc/src/soc/simple/issuer.py:66" *) core_coresync_rst;
- assign \$40 = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *) svstate_i;
+ assign \$40 = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *) svstate_i;
assign \$42 = ~ (* src = "/home/lkcl/src/libresoc/soc/src/soc/simple/issuer.py:66" *) core_coresync_rst;
assign \$44 = ~ (* src = "/home/lkcl/src/libresoc/soc/src/soc/simple/issuer.py:615" *) dbg_core_stop_o;
assign \$46 = ~ (* src = "/home/lkcl/src/libresoc/soc/src/soc/simple/issuer.py:615" *) core_coresync_rst;
wire alu_pulse;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/compalu_multi.py:198" *)
wire [6:0] alu_pulsem;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [63:0] alu_trap0_fast1;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
wire [63:0] \alu_trap0_fast1$1 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [63:0] alu_trap0_fast2;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
wire [63:0] \alu_trap0_fast2$2 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [63:0] alu_trap0_fast3;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
wire [63:0] \alu_trap0_fast3$3 ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [63:0] alu_trap0_msr;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:253" *)
wire alu_trap0_n_ready_i;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:252" *)
wire alu_trap0_n_valid_o;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [63:0] alu_trap0_nia;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [63:0] alu_trap0_o;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:160" *)
wire alu_trap0_p_ready_o;
wire [63:0] alu_trap0_ra;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
wire [63:0] alu_trap0_rb;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
wire [31:0] alu_trap0_svstate;
(* enum_base_type = "SVPtype" *)
(* enum_value_00 = "NONE" *)
(* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/compalu_multi.py:84" *)
output [31:0] dest7_o;
reg [31:0] dest7_o;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output fast1_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output fast2_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output fast3_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output msr_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output nia_ok;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output o_ok;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/latch.py:67" *)
wire opc_l_q_opc;
reg [63:0] src_r4 = 64'h0000000000000000;
(* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/latch.py:46" *)
reg [63:0] \src_r4$next ;
- (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
output svstate_ok;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/compalu_multi.py:211" *)
wire wr_any;
module wrappll(clk_24_i, pll_test_o, pll_vco_o, clk_sel_i, clk_pll_o);
(* src = "/home/lkcl/src/libresoc/soc/src/soc/clock/dummypll.py:10" *)
input clk_24_i;
- (* src = "/home/lkcl/src/libresoc/soc/src/soc/clock/dummypll.py:13" *)
+ (* src = "/home/lkcl/src/libresoc/soc/src/soc/clock/dummypll.py:20" *)
+ wire \clk_24_i$1 ;
+ (* src = "/home/lkcl/src/libresoc/soc/src/soc/clock/dummypll.py:12" *)
output clk_pll_o;
+ (* src = "/home/lkcl/src/libresoc/soc/src/soc/clock/dummypll.py:22" *)
+ wire \clk_pll_o$3 ;
(* src = "/home/lkcl/src/libresoc/soc/src/soc/clock/dummypll.py:11" *)
input [1:0] clk_sel_i;
- (* src = "/home/lkcl/src/libresoc/soc/src/soc/clock/dummypll.py:14" *)
+ (* src = "/home/lkcl/src/libresoc/soc/src/soc/clock/dummypll.py:21" *)
+ wire [1:0] \clk_sel_i$2 ;
+ (* src = "/home/lkcl/src/libresoc/soc/src/soc/clock/dummypll.py:13" *)
output pll_test_o;
- (* src = "/home/lkcl/src/libresoc/soc/src/soc/clock/dummypll.py:15" *)
+ (* src = "/home/lkcl/src/libresoc/soc/src/soc/clock/dummypll.py:23" *)
+ wire \pll_test_o$4 ;
+ (* src = "/home/lkcl/src/libresoc/soc/src/soc/clock/dummypll.py:14" *)
output pll_vco_o;
+ (* src = "/home/lkcl/src/libresoc/soc/src/soc/clock/dummypll.py:24" *)
+ wire \pll_vco_o$5 ;
pll real_pll (
- .a0(clk_sel_i[0]),
- .a1(clk_sel_i[1]),
- .div_out_test(pll_test_o),
- .out_v(clk_pll_o),
- .\ref (clk_24_i),
- .vco_test_ana(pll_vco_o)
+ .a0(\clk_sel_i$2 [0]),
+ .a1(\clk_sel_i$2 [1]),
+ .div_out_test(\pll_test_o$4 ),
+ .out_v(\clk_pll_o$3 ),
+ .\ref (\clk_24_i$1 ),
+ .vco_test_ana(\pll_vco_o$5 )
);
+ assign pll_vco_o = \pll_vco_o$5 ;
+ assign pll_test_o = \pll_test_o$4 ;
+ assign clk_pll_o = \clk_pll_o$3 ;
+ assign \clk_sel_i$2 = clk_sel_i;
+ assign \clk_24_i$1 = clk_24_i;
endmodule
(* \nmigen.hierarchy = "test_issuer.ti.core.fus.ldst0.wri_l" *)