projects
/
soc.git
/ commitdiff
commit
grep
author
committer
pickaxe
?
search:
re
summary
|
shortlog
|
log
|
commit
| commitdiff |
tree
raw
|
patch
| inline |
side by side
(parent:
e04358d
)
add delay on branches
author
Luke Kenneth Casson Leighton
<lkcl@lkcl.net>
Fri, 24 May 2019 13:00:00 +0000
(14:00 +0100)
committer
Luke Kenneth Casson Leighton
<lkcl@lkcl.net>
Fri, 24 May 2019 13:00:00 +0000
(14:00 +0100)
src/experiment/compalu.py
patch
|
blob
|
history
diff --git
a/src/experiment/compalu.py
b/src/experiment/compalu.py
index 1df0cc25d7319b35099040e863653a28a14507cc..ba377b80a7d400e45b3c67c06adb0860ea898534 100644
(file)
--- a/
src/experiment/compalu.py
+++ b/
src/experiment/compalu.py
@@
-105,6
+105,8
@@
class ComputationUnitNoDelay(Elaboratable):
m.d.sync += self.counter.eq(5)
with m.Elif(self.oper_i == 3): # SHIFT to take 7
m.d.sync += self.counter.eq(7)
+ with m.Elif(counter >= 4): # Branches to take 6 (to test shadow)
+ m.d.sync += self.counter.eq(6)
with m.Else(): # ADD/SUB to take 2
m.d.sync += self.counter.eq(2)
with m.If(self.counter > 1):