with m.State("special_cases"):
- # if a is NaN or b is NaN return NaN
- with m.If(a.is_nan() | b.is_nan()):
+ # if a is zero and b is NaN return -b
+ with m.If(a.is_zero() & (a.s==0) & b.is_nan()):
m.next = "put_z"
- m.d.sync += z.nan(1)
+ m.d.sync += z.create(b.s, b.e, Cat(b.m[3:-2], ~b.m[0]))
+
+ # if b is zero and a is NaN return -a
+ with m.Elif(b.is_zero() & (b.s==0) & a.is_nan()):
+ m.next = "put_z"
+ m.d.sync += z.create(a.s, a.e, Cat(a.m[3:-2], ~a.m[0]))
+
+ # if a is -zero and b is NaN return -b
+ with m.Elif(a.is_zero() & (a.s==1) & b.is_nan()):
+ m.next = "put_z"
+ m.d.sync += z.create(a.s & b.s, b.e, Cat(b.m[3:-2], 1))
+
+ # if b is -zero and a is NaN return -a
+ with m.Elif(b.is_zero() & (b.s==1) & a.is_nan()):
+ m.next = "put_z"
+ m.d.sync += z.create(a.s & b.s, a.e, Cat(a.m[3:-2], 1))
# if a is inf return inf (or NaN)
with m.Elif(a.is_inf()):
run_edge_cases, run_corner_cases)
def testbench(dut):
+ yield from check_case(dut, 0x7800, 0xff6f, 0xff6f)
+ yield from check_case(dut, 0x0000, 0x7c32, 0x7e32)
+ yield from check_case(dut, 0x0000, 0x7da9, 0x7fa9)
+ yield from check_case(dut, 0x0000, 0x7ea0, 0x7ea0)
+ yield from check_case(dut, 0x7c9a, 0x8000, 0x7e9a)
+ yield from check_case(dut, 0x7d5e, 0x0000, 0x7f5e)
+ yield from check_case(dut, 0x8000, 0x7c8c, 0x7e8c)
+ yield from check_case(dut, 0x8000, 0xfc55, 0xfe55)
+ yield from check_case(dut, 0x8000, 0x7e1a, 0x7e1a)
yield from check_case(dut, 0xfc00, 0x7c00, 0xfe00)
yield from check_case(dut, 0x8000, 0, 0)
yield from check_case(dut, 0, 0, 0)