missing m.d.comb +=
authorLuke Kenneth Casson Leighton <lkcl@lkcl.net>
Sat, 16 May 2020 04:20:26 +0000 (05:20 +0100)
committerLuke Kenneth Casson Leighton <lkcl@lkcl.net>
Sat, 16 May 2020 04:20:26 +0000 (05:20 +0100)
src/soc/logical/bperm.py

index 1dcb36d14a361ebd1101d4eaa152ac720b2be264..05321e50310ee8efd1f68fb6a105eb14125c6a68 100644 (file)
@@ -37,7 +37,7 @@ class Bpermd(Elaboratable):
         index = Signal(8)
         signals = [ Signal(1) for i in range(64) ]
         for i,n in enumerate(signals):
-            n.eq(self.rb[i])
+            m.d.comb += n.eq(self.rb[i])
         rb64 = Array(signals)
         for i in range(0, 8):
             index = self.rs[8 * i:8 * i + 8]