end
`endif
- /*======================================================= */
+ /*======================================================= */
/* ===== interface definition =======*/
interface axi_slave=bridge.axi_slave;
{12}
`endif
interface SP_dedicated_ios slow_ios;
-/* template for dedicated peripherals
+ /* template for dedicated peripherals
`ifdef UART0
interface uart0_coe=uart0.coe_rs232;
`endif
interface axiexp1_out=axiexp1.slave_out;
interface axiexp1_in=axiexp1.slave_in;
`endif
- `ifdef PWM_AXI4Lite
- interface pwm_o = pwm_bus.pwm_io;
- `endif
-*/
+ `ifdef PWM_AXI4Lite
+ interface pwm_o = pwm_bus.pwm_io;
+ `endif
+ */
endinterface
- // NEEL EDIT
- interface iocell_side=pinmux.iocell_side;
- interface pad_config0= gpioa.pad_config;
+ interface iocell_side=pinmux.iocell_side;
+ interface pad_config0= gpioa.pad_config;
{9}
- // NEEL EDIT OVER
/*===================================*/
endmodule
endpackage
return jtag_method_template # bit of a lazy hack this...
jtag_method_template = """\
- method Action jtag_ms (Bit#(1) in);
- pinmux.peripheral_side.jtag_ms(in);
- endmethod
- method Bit#(1) jtag_di=pinmux.peripheral_side.jtag_di;
- method Action jtag_do (Bit#(1) in);
- pinmux.peripheral_side.jtag_do(in);
- endmethod
- method Action jtag_ck (Bit#(1) in);
- pinmux.peripheral_side.jtag_ck(in);
- endmethod
+ method Action jtag_ms (Bit#(1) in);
+ pinmux.peripheral_side.jtag_ms(in);
+ endmethod
+ method Bit#(1) jtag_di=pinmux.peripheral_side.jtag_di;
+ method Action jtag_do (Bit#(1) in);
+ pinmux.peripheral_side.jtag_do(in);
+ endmethod
+ method Action jtag_ck (Bit#(1) in);
+ pinmux.peripheral_side.jtag_ck(in);
+ endmethod
"""
class sdmmc(PBase):