dev-env-setup.git
12 months agofixed missing fi powerhost
Sadoon Albader [Mon, 28 Aug 2023 16:35:09 +0000 (19:35 +0300)]
fixed missing fi

12 months agofixed missing end quote
Sadoon Albader [Mon, 28 Aug 2023 14:02:03 +0000 (17:02 +0300)]
fixed missing end quote

12 months agosupport building gdb on ppc64le host
Sadoon Albader [Sun, 27 Aug 2023 13:24:31 +0000 (16:24 +0300)]
support building gdb on ppc64le host

12 months agoadd script build-glibc-debian
Sadoon Albader [Fri, 25 Aug 2023 15:44:28 +0000 (18:44 +0300)]
add script build-glibc-debian

12 months agosplit scripts to make building glibc easier
Sadoon Albader [Fri, 25 Aug 2023 15:43:09 +0000 (18:43 +0300)]
split scripts to make building glibc easier

12 months agoclean up script, remove WIP warning
Sadoon Albader [Fri, 25 Aug 2023 15:40:14 +0000 (18:40 +0300)]
clean up script, remove WIP warning

12 months agoadd verbose option and mc,nano packages
Sadoon Albader [Fri, 25 Aug 2023 15:39:50 +0000 (18:39 +0300)]
add verbose option and mc,nano packages

12 months agoremove WIP warning
Sadoon Albader [Fri, 25 Aug 2023 15:39:34 +0000 (18:39 +0300)]
remove WIP warning

12 months agomake the script actually work with debootstrap
Sadoon Albader [Fri, 25 Aug 2023 15:39:20 +0000 (18:39 +0300)]
make the script actually work with debootstrap

12 months agocorrect repo directory
Sadoon Albader [Fri, 25 Aug 2023 15:38:42 +0000 (18:38 +0300)]
correct repo directory

12 months agoRemoved WIP warning, script is complete
Sadoon Albader [Wed, 23 Aug 2023 18:12:33 +0000 (21:12 +0300)]
Removed WIP warning, script is complete

12 months agoremoved WIP warning, script is complete
Sadoon Albader [Wed, 23 Aug 2023 18:12:11 +0000 (21:12 +0300)]
removed WIP warning, script is complete

12 months agofixed double declaration oversight
Sadoon Albader [Wed, 23 Aug 2023 18:11:39 +0000 (21:11 +0300)]
fixed double declaration oversight

12 months agoAdded test-vsx script
Sadoon Albader [Wed, 23 Aug 2023 18:11:06 +0000 (21:11 +0300)]
Added test-vsx script

12 months agolist of 625 VSX instructions for testing
Sadoon Albader [Wed, 23 Aug 2023 18:10:54 +0000 (21:10 +0300)]
list of 625 VSX instructions for testing

12 months agoadd package list
Sadoon Albader [Wed, 23 Aug 2023 16:12:30 +0000 (19:12 +0300)]
add package list

12 months agofix use uninitialized variable
Sadoon Albader [Sat, 19 Aug 2023 11:59:52 +0000 (14:59 +0300)]
fix use uninitialized variable

12 months agouse deb.debian.org instead of ftp.us.debian.org
Sadoon Albader [Sat, 19 Aug 2023 11:59:30 +0000 (14:59 +0300)]
use deb.debian.org instead of ftp.us.debian.org

12 months agofix LIST variable not being used in loop
Sadoon Albader [Sat, 19 Aug 2023 11:40:17 +0000 (14:40 +0300)]
fix LIST variable not being used in loop

12 months agoadd -y to apt install to require no user input
Sadoon Albader [Sat, 19 Aug 2023 11:24:13 +0000 (14:24 +0300)]
add -y to apt install to require no user input

12 months agofix $ char not being escaped causing issues
Sadoon Albader [Sat, 19 Aug 2023 11:23:46 +0000 (14:23 +0300)]
fix $ char not being escaped causing issues

12 months agofix sbuild install script to use SUDO_USER
Sadoon Albader [Sat, 19 Aug 2023 11:15:08 +0000 (14:15 +0300)]
fix sbuild install script to use SUDO_USER

12 months agoAdd our dpkg-buildflags to schroot
Sadoon Albader [Fri, 18 Aug 2023 12:19:53 +0000 (15:19 +0300)]
Add our dpkg-buildflags to schroot

12 months agoAdd script to create sffs chroot
Sadoon Albader [Fri, 18 Aug 2023 12:18:04 +0000 (15:18 +0300)]
Add script to create sffs chroot

12 months agoAdd script to create repo of built packages
Sadoon Albader [Fri, 18 Aug 2023 12:17:51 +0000 (15:17 +0300)]
Add script to create repo of built packages

12 months agoAdd script to build list of packages
Sadoon Albader [Fri, 18 Aug 2023 12:17:40 +0000 (15:17 +0300)]
Add script to build list of packages

12 months agoAdd script to create bookworm schroot
Sadoon Albader [Fri, 18 Aug 2023 12:17:14 +0000 (15:17 +0300)]
Add script to create bookworm schroot

12 months agoAdd script to install sbuild and its configs
Sadoon Albader [Fri, 18 Aug 2023 12:16:57 +0000 (15:16 +0300)]
Add script to install sbuild and its configs

13 months agonextpnr-xilinx-install: generate database for XC7A200T
Cesar Strauss [Mon, 10 Jul 2023 18:00:24 +0000 (18:00 +0000)]
nextpnr-xilinx-install: generate database for XC7A200T

Enables bit file support for the FPGA in the Nexys Video board.

14 months agoice40k-toolchain: New script for installing icestorm, nextpnr, tinyprog. For small...
Andrey Miroshnikov [Fri, 7 Jul 2023 17:27:54 +0000 (17:27 +0000)]
ice40k-toolchain: New script for installing icestorm, nextpnr, tinyprog. For small ice40 FPGAs

14 months agohdl-dev-repos: move mdis dependency atop
Dmitry Selyutin [Thu, 22 Jun 2023 21:14:33 +0000 (00:14 +0300)]
hdl-dev-repos: move mdis dependency atop

14 months agohdl-dev-repos: introduce mdis dependency
Dmitry Selyutin [Wed, 21 Jun 2023 21:37:14 +0000 (00:37 +0300)]
hdl-dev-repos: introduce mdis dependency

14 months agoswitch to pytest-xdist==3.3.1 pytest==7.3.1
Jacob Lifshay [Tue, 13 Jun 2023 01:40:13 +0000 (18:40 -0700)]
switch to pytest-xdist==3.3.1 pytest==7.3.1

15 months agonextpnr-xilinx-install: Missed -y flag for apt install
Andrey Miroshnikov [Wed, 7 Jun 2023 13:24:01 +0000 (13:24 +0000)]
nextpnr-xilinx-install: Missed -y flag for apt install

15 months agoadd pytest to install dependencies
Luke Kenneth Casson Leighton [Thu, 1 Jun 2023 23:43:41 +0000 (00:43 +0100)]
add pytest to install dependencies

15 months agohdl-dev-repos: Fixed cd path for pyvcd
Andrey Miroshnikov [Sun, 21 May 2023 12:53:28 +0000 (13:53 +0100)]
hdl-dev-repos: Fixed cd path for pyvcd

15 months agohdl-dev-repos: Changed pyvcd to 0.2.4
Andrey Miroshnikov [Fri, 19 May 2023 17:30:28 +0000 (18:30 +0100)]
hdl-dev-repos: Changed pyvcd to 0.2.4

15 months agohdl-dev-repos: Added pyvcd dependency (for normal and virtualenv scripts)
Andrey Miroshnikov [Fri, 19 May 2023 16:21:54 +0000 (17:21 +0100)]
hdl-dev-repos: Added pyvcd dependency (for normal and virtualenv scripts)
install-hdl-apt-reqs: Added python3-toml dependency

16 months agoremove trailing spaces
Jacob Lifshay [Thu, 13 Apr 2023 01:07:55 +0000 (18:07 -0700)]
remove trailing spaces

17 months agoadd missing libpython3.7-dev dependency
Konstantinos Margaritis [Sun, 12 Mar 2023 10:58:47 +0000 (10:58 +0000)]
add missing libpython3.7-dev dependency

19 months agosigh forgot the "y" on install qemu-system-ppc
Luke Kenneth Casson Leighton [Tue, 17 Jan 2023 16:05:28 +0000 (16:05 +0000)]
sigh forgot the "y" on install qemu-system-ppc

19 months agonextpnr-ecp5-install: Pinning prjterllis version to 1.2.1 as per bug #989
Andrey Miroshnikov [Fri, 13 Jan 2023 00:31:10 +0000 (00:31 +0000)]
nextpnr-ecp5-install: Pinning prjterllis version to 1.2.1 as per bug #989

22 months agofix(tasyagle-install): avt_env script moved to comply with FHS
Andrey Miroshnikov [Thu, 13 Oct 2022 14:12:21 +0000 (15:12 +0100)]
fix(tasyagle-install): avt_env script moved to comply with FHS

22 months agofeat(tasyagle-install): Added flex removal, running example (which fails)
Andrey Miroshnikov [Wed, 12 Oct 2022 15:46:40 +0000 (16:46 +0100)]
feat(tasyagle-install): Added flex removal, running example (which fails)

23 months agoadd libgtest-dev to install-hdl-apt-reqs
Luke Kenneth Casson Leighton [Sat, 17 Sep 2022 15:48:05 +0000 (16:48 +0100)]
add libgtest-dev to install-hdl-apt-reqs
https://bugs.libre-soc.org/show_bug.cgi?id=228

2 years agouse specific version of alliance-check-toolkit
Luke Kenneth Casson Leighton [Mon, 15 Aug 2022 15:50:56 +0000 (16:50 +0100)]
use specific version of alliance-check-toolkit

2 years agowhoops add wget to coriolis-install
Luke Kenneth Casson Leighton [Mon, 15 Aug 2022 15:46:30 +0000 (16:46 +0100)]
whoops add wget to coriolis-install

2 years agoset buster-backports pin-priority to low so as to stop it being
Luke Kenneth Casson Leighton [Mon, 15 Aug 2022 14:53:47 +0000 (15:53 +0100)]
set buster-backports pin-priority to low so as to stop it being
used by default

2 years agocheck if schroot and debootstrap installed already
Luke Kenneth Casson Leighton [Mon, 15 Aug 2022 12:56:36 +0000 (13:56 +0100)]
check if schroot and debootstrap installed already

2 years agotasyagle-install: workaround to reload .bashrc works, can now compile docs in one go
Andrey Miroshnikov [Tue, 26 Jul 2022 00:53:45 +0000 (01:53 +0100)]
tasyagle-install: workaround to reload .bashrc works, can now compile docs in one go

2 years agotasyagle-install: Added check with .bashrc, commented out doc generation
Andrey Miroshnikov [Mon, 25 Jul 2022 15:41:58 +0000 (16:41 +0100)]
tasyagle-install: Added check with .bashrc, commented out doc generation

2 years agotasyagle-install: Change file ownership to sudo_user
Andrey Miroshnikov [Mon, 25 Jul 2022 15:15:19 +0000 (16:15 +0100)]
tasyagle-install: Change file ownership to sudo_user

2 years agotasyagle-install: Add jdk package, make docs
Andrey Miroshnikov [Mon, 25 Jul 2022 15:13:52 +0000 (16:13 +0100)]
tasyagle-install: Add jdk package, make docs

2 years agoupdate to released version of cvc5 that includes powerpc64le fixes
Jacob Lifshay [Fri, 22 Jul 2022 22:13:27 +0000 (15:13 -0700)]
update to released version of cvc5 that includes powerpc64le fixes

https://bugs.libre-soc.org/show_bug.cgi?id=891

2 years agotasyagle-install: was overwriting .bashrc...
Andrey Miroshnikov [Thu, 21 Jul 2022 22:15:47 +0000 (23:15 +0100)]
tasyagle-install: was overwriting .bashrc...

2 years agotasyagle-install: Added login source for avt_env.sh
Andrey Miroshnikov [Thu, 21 Jul 2022 18:39:10 +0000 (19:39 +0100)]
tasyagle-install: Added login source for avt_env.sh

2 years agotasyagle-install: Install to /usr/local
Andrey Miroshnikov [Mon, 18 Jul 2022 11:46:08 +0000 (12:46 +0100)]
tasyagle-install: Install to /usr/local

2 years agomeson dependency moved to linstaoo-mesa-deps
Luke Kenneth Casson Leighton [Sun, 17 Jul 2022 17:56:55 +0000 (17:56 +0000)]
meson dependency moved to linstaoo-mesa-deps

2 years agoinstalling mesa deps needs meson drm mesa first
Luke Kenneth Casson Leighton [Sun, 17 Jul 2022 17:54:31 +0000 (17:54 +0000)]
installing mesa deps needs meson drm mesa first

2 years agocannot install sysvinit-core
Luke Kenneth Casson Leighton [Sun, 17 Jul 2022 17:36:23 +0000 (18:36 +0100)]
cannot install sysvinit-core

2 years agoqemu backports explicit install separate from other packages
Luke Kenneth Casson Leighton [Sun, 17 Jul 2022 16:39:18 +0000 (17:39 +0100)]
qemu backports explicit install separate from other packages

2 years agoadd mesa build dependencies
Luke Kenneth Casson Leighton [Sun, 17 Jul 2022 16:13:27 +0000 (16:13 +0000)]
add mesa build dependencies

2 years agoinstall meson as build dependency
Luke Kenneth Casson Leighton [Fri, 15 Jul 2022 18:17:16 +0000 (18:17 +0000)]
install meson as build dependency

2 years agotasyagle-install: added origin and libresoc repos
Andrey Miroshnikov [Fri, 15 Jul 2022 21:24:31 +0000 (22:24 +0100)]
tasyagle-install: added origin and libresoc repos

2 years agoneeds -y on apt-get
Luke Kenneth Casson Leighton [Fri, 15 Jul 2022 18:13:56 +0000 (18:13 +0000)]
needs -y on apt-get

2 years agoadd texlive latex extra
Luke Kenneth Casson Leighton [Fri, 15 Jul 2022 14:42:00 +0000 (15:42 +0100)]
add texlive latex extra

2 years agoadd inkscape build depenndency
Luke Kenneth Casson Leighton [Fri, 15 Jul 2022 14:36:17 +0000 (15:36 +0100)]
add inkscape build depenndency

2 years agoadd new build dependencies
Luke Kenneth Casson Leighton [Fri, 15 Jul 2022 14:33:28 +0000 (15:33 +0100)]
add new build dependencies

2 years agofix package-pin on libcurl3-gnutls
Luke Kenneth Casson Leighton [Thu, 14 Jul 2022 12:29:12 +0000 (12:29 +0000)]
fix package-pin on libcurl3-gnutls

2 years agoadapt devscripts to only do buster-backports once
Luke Kenneth Casson Leighton [Thu, 14 Jul 2022 11:02:19 +0000 (12:02 +0100)]
adapt devscripts to only do buster-backports once

2 years agoAdded full deps from debian file
Andrey Miroshnikov [Wed, 13 Jul 2022 15:59:29 +0000 (16:59 +0100)]
Added full deps from debian file

2 years agotasyagle: Added a few more package deps, not working
Andrey Miroshnikov [Wed, 13 Jul 2022 14:53:54 +0000 (15:53 +0100)]
tasyagle: Added a few more package deps, not working

2 years agodo not run dependent scripts
Luke Kenneth Casson Leighton [Tue, 12 Jul 2022 14:54:26 +0000 (15:54 +0100)]
do not run dependent scripts

2 years agotasyagle script more functional
Andrey Miroshnikov [Tue, 12 Jul 2022 14:07:14 +0000 (15:07 +0100)]
tasyagle script more functional

2 years agoCreated tasyagle script, not functional yet
Andrey Miroshnikov [Tue, 12 Jul 2022 13:11:50 +0000 (14:11 +0100)]
Created tasyagle script, not functional yet

2 years agoAdd initial support for cvc5 and bitwuzla
R Veera Kumar [Tue, 12 Jul 2022 11:57:21 +0000 (17:27 +0530)]
Add initial support for cvc5 and bitwuzla

2 years agopin libcurl3-gnutls
Luke Kenneth Casson Leighton [Mon, 11 Jul 2022 14:24:45 +0000 (15:24 +0100)]
pin libcurl3-gnutls

2 years agopin libcurl3-gnutls
Luke Kenneth Casson Leighton [Mon, 11 Jul 2022 14:23:11 +0000 (15:23 +0100)]
pin libcurl3-gnutls

2 years agoadd migen to hdl-kestrel-repos
Luke Kenneth Casson Leighton [Thu, 7 Jul 2022 18:50:55 +0000 (19:50 +0100)]
add migen to hdl-kestrel-repos

2 years agowhitespace, keep to 80 char limit
Luke Kenneth Casson Leighton [Thu, 7 Jul 2022 18:47:22 +0000 (19:47 +0100)]
whitespace, keep to 80 char limit

2 years agoAdd build scripts for Kesrel Zephyr firmware image
Raptor Engineering Development Team [Thu, 7 Jul 2022 18:35:41 +0000 (18:35 +0000)]
Add build scripts for Kesrel Zephyr firmware image

2 years agoadding path-exports for nextpnr-ecp5, ecppack, ecpbram etc.
Luke Kenneth Casson Leighton [Wed, 6 Jul 2022 20:39:57 +0000 (21:39 +0100)]
adding path-exports for nextpnr-ecp5, ecppack, ecpbram etc.

2 years agoadd mention of dependent scripts needed for kestrel build
Luke Kenneth Casson Leighton [Wed, 6 Jul 2022 19:55:20 +0000 (20:55 +0100)]
add mention of dependent scripts needed for kestrel build

2 years agoadd missing gcc-powerpc64-linux-gnu package
Luke Kenneth Casson Leighton [Wed, 6 Jul 2022 19:55:03 +0000 (20:55 +0100)]
add missing gcc-powerpc64-linux-gnu package

2 years agowhitespace cleanup
Luke Kenneth Casson Leighton [Wed, 6 Jul 2022 19:54:44 +0000 (20:54 +0100)]
whitespace cleanup

2 years agoenv-var $KESTREL, keep (as much as possible) to 80 chars
Luke Kenneth Casson Leighton [Wed, 6 Jul 2022 19:28:29 +0000 (20:28 +0100)]
env-var $KESTREL, keep (as much as possible) to 80 chars

2 years agoadd bitwuzla/cvc5 to hdl-tools-yosys
Luke Kenneth Casson Leighton [Wed, 6 Jul 2022 19:13:39 +0000 (20:13 +0100)]
add bitwuzla/cvc5 to hdl-tools-yosys

2 years agoAdd Kestrel install and build scripts
Raptor Engineering Development Team [Wed, 6 Jul 2022 19:06:03 +0000 (19:06 +0000)]
Add Kestrel install and build scripts

2 years agoupdate yosys tag
Luke Kenneth Casson Leighton [Thu, 16 Jun 2022 07:53:48 +0000 (08:53 +0100)]
update yosys tag

2 years agoclone from libre-soc.org for SymbiYosys
Luke Kenneth Casson Leighton [Thu, 16 Jun 2022 07:52:43 +0000 (08:52 +0100)]
clone from libre-soc.org for SymbiYosys

2 years agoAdd support for libisl in binutils
R Veera Kumar [Wed, 15 Jun 2022 20:49:05 +0000 (02:19 +0530)]
Add support for libisl in binutils

2 years agoset src directory to $SUDO_USER
Luke Kenneth Casson Leighton [Tue, 14 Jun 2022 20:52:47 +0000 (21:52 +0100)]
set src directory to $SUDO_USER

2 years agoupdate to 0.13-with-write_jny tag in yosys
Luke Kenneth Casson Leighton [Tue, 14 Jun 2022 14:29:48 +0000 (15:29 +0100)]
update to 0.13-with-write_jny tag in yosys

2 years agoadd python3-setuptools-scm to list of dependencies in mk-deb-chroot
Luke Kenneth Casson Leighton [Tue, 14 Jun 2022 11:06:36 +0000 (12:06 +0100)]
add python3-setuptools-scm to list of dependencies in mk-deb-chroot

2 years agoAdd ldconfig command to installation, fixes library not found
R Veera Kumar [Mon, 13 Jun 2022 21:14:31 +0000 (02:44 +0530)]
Add ldconfig command to installation, fixes library not found

2 years agoremove prefix, install in /usr/local as default
Luke Kenneth Casson Leighton [Sat, 11 Jun 2022 08:05:42 +0000 (09:05 +0100)]
remove prefix, install in /usr/local as default

2 years agoRemoved HOST variable and --host option to configure
R Veera Kumar [Sat, 11 Jun 2022 06:51:11 +0000 (12:21 +0530)]
Removed HOST variable and --host option to configure

2 years agoRemove one configure option so to make warning as error
R Veera Kumar [Sat, 11 Jun 2022 01:57:42 +0000 (07:27 +0530)]
Remove one configure option so to make warning as error

2 years agoAdd initial binutils-gdb install script
R Veera Kumar [Fri, 10 Jun 2022 14:38:30 +0000 (20:08 +0530)]
Add initial binutils-gdb install script

2 years agoadd reproducible script
Luke Kenneth Casson Leighton [Mon, 25 Apr 2022 16:19:02 +0000 (17:19 +0100)]
add reproducible script