add icarus simulation of ls2 with DDR3 and ECP5 models
-rw-r--r-- 31 .gitignore
-rw-r--r-- 1154 CONTRIBUTORS
-rw-r--r-- 79 DDRDLLA.patch
-rw-r--r-- 1834 LICENSE.BSD-2-Clause
-rw-r--r-- 469 LICENSE.CC4
-rw-r--r-- 7651 LICENSE.LGPLv3
-rw-r--r-- 1933 Makefile
-rw-r--r-- 156 README.txt
drwxr-xr-x - coldboot
drwxr-xr-x - dram_model
drwxr-xr-x - include
drwxr-xr-x - lib
drwxr-xr-x - libgram
-rwxr-xr-x 712 runsimsoc2.sh
-rw-r--r-- 921 simsoc.ys
drwxr-xr-x - src
drwxr-xr-x - verilator