build: update all submodules to their current master
authorWesley W. Terpstra <wesley@sifive.com>
Sun, 25 Feb 2018 18:33:25 +0000 (10:33 -0800)
committerWesley W. Terpstra <wesley@sifive.com>
Sun, 25 Feb 2018 18:33:25 +0000 (10:33 -0800)
Makefile.e300artydevkit
Makefile.u500vc707devkit
bootrom/sdboot/Makefile
bootrom/xip/Makefile
common.mk
fpga-shells
rocket-chip
sifive-blocks
src/main/scala/unleashed/u500vc707devkit/Config.scala
src/main/scala/unleashed/u500vc707devkit/FPGAChip.scala

index 04b0af5b0099240409409e366b0511ea77ade6bb..b776752dc8dbf8e45ed82d80c680fa90aa9ee5e7 100644 (file)
@@ -4,7 +4,7 @@ BUILD_DIR := $(base_dir)/builds/e300artydevkit
 FPGA_DIR := $(base_dir)/fpga-shells/xilinx
 MODEL := E300ArtyDevKitFPGAChip
 PROJECT := sifive.freedom.everywhere.e300artydevkit
-CONFIG_PROJECT := sifive.freedom.everywhere.e300artydevkit
+export CONFIG_PROJECT := sifive.freedom.everywhere.e300artydevkit
 export CONFIG := E300ArtyDevKitConfig
 export BOARD := arty
 export BOOTROM_DIR := $(base_dir)/bootrom/xip
index 70692fa7de85f43ddfc54056d6037ec9efd34625..b22179a3f276bccb01826a80cce02b2893be6e9c 100644 (file)
@@ -4,7 +4,7 @@ BUILD_DIR := $(base_dir)/builds/u500vc707devkit
 FPGA_DIR := $(base_dir)/fpga-shells/xilinx
 MODEL := U500VC707DevKitFPGAChip
 PROJECT := sifive.freedom.unleashed.u500vc707devkit
-CONFIG_PROJECT := sifive.freedom.unleashed.u500vc707devkit
+export CONFIG_PROJECT := sifive.freedom.unleashed.u500vc707devkit
 export CONFIG := U500VC707DevKitConfig
 export BOARD := vc707
 export BOOTROM_DIR := $(base_dir)/bootrom/sdboot
index e4bb3d1e430d3a3391aece35d08a6d0c411fa04e..571b455af9bf80eb2e9fa0e2bd06960bb44d92f4 100644 (file)
@@ -7,8 +7,8 @@ CFLAGS+= -fno-common -g -DENTROPY=0 -mabi=lp64 -DNONSMP_HART=0
 CFLAGS+= -I $(BOOTROM_DIR)/include -I.
 LFLAGS=-static -nostdlib -L $(BOOTROM_DIR)/linker -T sdboot.elf.lds
 
-dtb := $(BUILD_DIR)/$(CONFIG).dtb
-$(dtb): $(BUILD_DIR)/$(CONFIG).dts
+dtb := $(BUILD_DIR)/$(CONFIG_PROJECT).$(CONFIG).dtb
+$(dtb): $(BUILD_DIR)/$(CONFIG_PROJECT).$(CONFIG).dts
        dtc -I dts -O dtb -o $@ $<
 
 .PHONY: dtb
index 08753cebccc2cb3c0faba143edc61dafa6f56cd8..57f94d49aa8481824a5e5b6c8f9e2cdfd82b97df 100644 (file)
@@ -5,8 +5,8 @@ OBJCOPY=$(RISCV)/bin/riscv64-unknown-elf-objcopy
 CFLAGS=-march=rv32imac -mabi=ilp32 -O2 -std=gnu11 -Wall -I. -nostartfiles -fno-common -g
 LFLAGS=-static -nostdlib
 
-dtb := $(BUILD_DIR)/$(CONFIG).dtb
-$(dtb): $(BUILD_DIR)/$(CONFIG).dts
+dtb := $(BUILD_DIR)/$(CONFIG_PROJECT).$(CONFIG).dtb
+$(dtb): $(BUILD_DIR)/$(CONFIG_PROJECT).$(CONFIG).dts
        dtc -I dts -O dtb -o $@ $<
 
 .PHONY: dtb
index e23cbb7eaed1a1d9815b6db3eda48f4025452dfa..1a1407a7d98681bd41ed4464f0dfb1922309cfa8 100644 (file)
--- a/common.mk
+++ b/common.mk
@@ -46,7 +46,7 @@ $(FIRRTL_JAR): $(shell find $(rocketchip_dir)/firrtl/src/main/scala -iname "*.sc
 firrtl := $(BUILD_DIR)/$(CONFIG_PROJECT).$(CONFIG).fir
 $(firrtl): $(shell find $(base_dir)/src/main/scala -name '*.scala') $(FIRRTL_JAR)
        mkdir -p $(dir $@)
-       $(SBT) "run-main freechips.rocketchip.system.Generator $(BUILD_DIR) $(PROJECT) $(MODEL) $(CONFIG_PROJECT) $(CONFIG)"
+       $(SBT) "runMain freechips.rocketchip.system.Generator $(BUILD_DIR) $(PROJECT) $(MODEL) $(CONFIG_PROJECT) $(CONFIG)"
 
 .PHONY: firrtl
 firrtl: $(firrtl)
index ba7beb676d55b73334bd4a85623e56c713a83773..17e13a3a5078e76483f7c30a3b513507f0c9ebd6 160000 (submodule)
@@ -1 +1 @@
-Subproject commit ba7beb676d55b73334bd4a85623e56c713a83773
+Subproject commit 17e13a3a5078e76483f7c30a3b513507f0c9ebd6
index 7e75d63ba6b4c1b50aaaf920e1c693ef6acf51d7..47d63d6baa840da26d9091a29294380cf399f4ad 160000 (submodule)
@@ -1 +1 @@
-Subproject commit 7e75d63ba6b4c1b50aaaf920e1c693ef6acf51d7
+Subproject commit 47d63d6baa840da26d9091a29294380cf399f4ad
index 9052a079d404ebbfda5f01765b909c20503504ad..3dee15277598e45d7ac9d435f0365989c6d00f7e 160000 (submodule)
@@ -1 +1 @@
-Subproject commit 9052a079d404ebbfda5f01765b909c20503504ad
+Subproject commit 3dee15277598e45d7ac9d435f0365989c6d00f7e
index 4598f2f4dcc49f515cf2a457fd32e0198f0d3214..4aa736255cda1b346ba05d0391c1ffa1f1046bed 100644 (file)
@@ -26,11 +26,11 @@ class FreedomUVC707Config extends Config(
 // Freedom U500 VC707 Dev Kit Peripherals
 class U500VC707DevKitPeripherals extends Config((site, here, up) => {
   case PeripheryUARTKey => List(
-    UARTParams(address = BigInt(0x54000000L)))
+    UARTParams(address = BigInt(0x64000000L)))
   case PeripherySPIKey => List(
-    SPIParams(rAddress = BigInt(0x54001000L)))
+    SPIParams(rAddress = BigInt(0x64001000L)))
   case PeripheryGPIOKey => List(
-    GPIOParams(address = BigInt(0x54002000L), width = 4))
+    GPIOParams(address = BigInt(0x64002000L), width = 4))
   case PeripheryMaskROMKey => List(
     MaskROMParams(address = 0x10000, name = "BootROM"))
 })
@@ -40,7 +40,7 @@ class U500VC707DevKitConfig extends Config(
   new WithNExtTopInterrupts(0)   ++
   new U500VC707DevKitPeripherals ++
   new FreedomUVC707Config().alter((site,here,up) => {
-    case ErrorParams => ErrorParams(Seq(AddressSet(0x3000, 0xfff)))
+    case ErrorParams => ErrorParams(Seq(AddressSet(0x3000, 0xfff)), maxAtomic=site(XLen)/8, maxTransfer=128)
     case PeripheryBusKey => up(PeripheryBusKey, site).copy(frequency = 50000000) // 50 MHz hperiphery
     case MemoryXilinxDDRKey => XilinxVC707MIGParams(address = Seq(AddressSet(0x80000000L,0x40000000L-1))) //1GB
     case DTSTimebase => BigInt(1000000)
index 9ac76678e0e6a19879b455621468272eea5247b9..2075116a9b952657daa7eec0abffa6fe68b58ebc 100644 (file)
@@ -30,7 +30,8 @@ object PinGen {
 class U500VC707DevKitFPGAChip(implicit override val p: Parameters)
     extends VC707Shell
     with HasPCIe
-    with HasDDR3 {
+    with HasDDR3
+    with HasDebugJTAG {
 
   //-----------------------------------------------------------------------
   // DUT