Updated mt tests
[riscv-tests.git] / mt / ag_matmul / ag_matmul.c
index 9782d781e2ce859f4ce97527a7b64a1be21c37dd..bd470eb33eb189cfc3065e1cb97de6746d0bd7fa 100755 (executable)
@@ -52,7 +52,7 @@ stringify(code), _c, _c/DIM_SIZE/DIM_SIZE/DIM_SIZE, 10*_c/DIM_SIZE/DIM_SIZE/DIM_
 //--------------------------------------------------------------------------
 // Helper functions
 
-void printArray( char name[], int n, data_t arr[] )
+void printArrayMT( char name[], int n, data_t arr[] )
 {
     int i;
     if (coreid != 0)
@@ -64,7 +64,7 @@ void printArray( char name[], int n, data_t arr[] )
     printf( "\n" );
 }
 
-void __attribute__((noinline)) verify(size_t n, const data_t* test, const data_t* correct)
+void __attribute__((noinline)) verifyMT(size_t n, const data_t* test, const data_t* correct)
 {
     if (coreid != 0)
         return;
@@ -176,7 +176,7 @@ void __attribute__((noinline)) matmul(const int lda,  const data_t A[], const da
                 C[(j+3)*lda + i + 1] += sum;
                 
             }
-            barrier();
+            barrier(ncores);
         }
     }
 }
@@ -197,33 +197,33 @@ void thread_entry(int cid, int nc)
     
     
 //    // Execute the provided, naive matmul
-//    barrier();
-//    stats(matmul_naive(DIM_SIZE, input1_data, input2_data, results_data); barrier());
+//    barrier(nc);
+//    stats(matmul_naive(DIM_SIZE, input1_data, input2_data, results_data); barrier(nc));
 //    
 //    
 //    // verify
-//    verify(ARRAY_SIZE, results_data, verify_data);
+//    verifyMT(ARRAY_SIZE, results_data, verify_data);
 //    
 //    // clear results from the first trial
 //    size_t i;
 //    if (coreid == 0) 
 //        for (i=0; i < ARRAY_SIZE; i++)
 //            results_data[i] = 0;
-//    barrier();
+//    barrier(nc);
     
     
     // Execute your faster matmul
-    barrier();
-    stats(matmul(DIM_SIZE, input1_data, input2_data, results_data); barrier());
+    barrier(nc);
+    stats(matmul(DIM_SIZE, input1_data, input2_data, results_data); barrier(nc));
     
 #ifdef DEBUG
-    printArray("results:", ARRAY_SIZE, results_data);
-    printArray("verify :", ARRAY_SIZE, verify_data);
+    printArrayMT("results:", ARRAY_SIZE, results_data);
+    printArrayMT("verify :", ARRAY_SIZE, verify_data);
 #endif
     
     // verify
-    verify(ARRAY_SIZE, results_data, verify_data);
-    barrier();
+    verifyMT(ARRAY_SIZE, results_data, verify_data);
+    barrier(nc);
     
     exit(0);
 }