gen/fhdl: add Display for debug in simulation
[litex.git] / litex / gen / fhdl / verilog.py
1 from functools import partial
2 from operator import itemgetter
3 import collections
4
5 from litex.gen.fhdl.structure import *
6 from litex.gen.fhdl.structure import _Operator, _Slice, _Assign, _Fragment
7 from litex.gen.fhdl.tools import *
8 from litex.gen.fhdl.namer import build_namespace
9 from litex.gen.fhdl.conv_output import ConvOutput
10
11
12 _reserved_keywords = {
13 "always", "and", "assign", "automatic", "begin", "buf", "bufif0", "bufif1",
14 "case", "casex", "casez", "cell", "cmos", "config", "deassign", "default",
15 "defparam", "design", "disable", "edge", "else", "end", "endcase",
16 "endconfig", "endfunction", "endgenerate", "endmodule", "endprimitive",
17 "endspecify", "endtable", "endtask", "event", "for", "force", "forever",
18 "fork", "function", "generate", "genvar", "highz0", "highz1", "if",
19 "ifnone", "incdir", "include", "initial", "inout", "input",
20 "instance", "integer", "join", "large", "liblist", "library", "localparam",
21 "macromodule", "medium", "module", "nand", "negedge", "nmos", "nor",
22 "noshowcancelled", "not", "notif0", "notif1", "or", "output", "parameter",
23 "pmos", "posedge", "primitive", "pull0", "pull1" "pulldown",
24 "pullup", "pulsestyle_onevent", "pulsestyle_ondetect", "remos", "real",
25 "realtime", "reg", "release", "repeat", "rnmos", "rpmos", "rtran",
26 "rtranif0", "rtranif1", "scalared", "showcancelled", "signed", "small",
27 "specify", "specparam", "strong0", "strong1", "supply0", "supply1",
28 "table", "task", "time", "tran", "tranif0", "tranif1", "tri", "tri0",
29 "tri1", "triand", "trior", "trireg", "unsigned", "use", "vectored", "wait",
30 "wand", "weak0", "weak1", "while", "wire", "wor","xnor", "xor", "do"
31 }
32
33
34 def _printsig(ns, s):
35 if s.signed:
36 n = "signed "
37 else:
38 n = ""
39 if len(s) > 1:
40 n += "[" + str(len(s)-1) + ":0] "
41 n += ns.get_name(s)
42 return n
43
44
45 def _printconstant(node):
46 if node.signed:
47 return (str(node.nbits) + "'sd" + str(2**node.nbits + node.value),
48 True)
49 else:
50 return str(node.nbits) + "'d" + str(node.value), False
51
52
53 def _printexpr(ns, node):
54 if isinstance(node, Constant):
55 return _printconstant(node)
56 elif isinstance(node, Signal):
57 return ns.get_name(node), node.signed
58 elif isinstance(node, _Operator):
59 arity = len(node.operands)
60 r1, s1 = _printexpr(ns, node.operands[0])
61 if arity == 1:
62 if node.op == "-":
63 if s1:
64 r = node.op + r1
65 else:
66 r = "-$signed({1'd0, " + r1 + "})"
67 s = True
68 else:
69 r = node.op + r1
70 s = s1
71 elif arity == 2:
72 r2, s2 = _printexpr(ns, node.operands[1])
73 if node.op not in ["<<<", ">>>"]:
74 if s2 and not s1:
75 r1 = "$signed({1'd0, " + r1 + "})"
76 if s1 and not s2:
77 r2 = "$signed({1'd0, " + r2 + "})"
78 r = r1 + " " + node.op + " " + r2
79 s = s1 or s2
80 elif arity == 3:
81 assert node.op == "m"
82 r2, s2 = _printexpr(ns, node.operands[1])
83 r3, s3 = _printexpr(ns, node.operands[2])
84 if s2 and not s3:
85 r3 = "$signed({1'd0, " + r3 + "})"
86 if s3 and not s2:
87 r2 = "$signed({1'd0, " + r2 + "})"
88 r = r1 + " ? " + r2 + " : " + r3
89 s = s2 or s3
90 else:
91 raise TypeError
92 return "(" + r + ")", s
93 elif isinstance(node, _Slice):
94 # Verilog does not like us slicing non-array signals...
95 if isinstance(node.value, Signal) \
96 and len(node.value) == 1 \
97 and node.start == 0 and node.stop == 1:
98 return _printexpr(ns, node.value)
99
100 if node.start + 1 == node.stop:
101 sr = "[" + str(node.start) + "]"
102 else:
103 sr = "[" + str(node.stop-1) + ":" + str(node.start) + "]"
104 r, s = _printexpr(ns, node.value)
105 return r + sr, s
106 elif isinstance(node, Cat):
107 l = [_printexpr(ns, v)[0] for v in reversed(node.l)]
108 return "{" + ", ".join(l) + "}", False
109 elif isinstance(node, Replicate):
110 return "{" + str(node.n) + "{" + _printexpr(ns, node.v)[0] + "}}", False
111 else:
112 raise TypeError("Expression of unrecognized type: '{}'".format(type(node).__name__))
113
114
115 (_AT_BLOCKING, _AT_NONBLOCKING, _AT_SIGNAL) = range(3)
116
117
118 def _printnode(ns, at, level, node):
119 if node is None:
120 return ""
121 elif isinstance(node, Display):
122 s = "\"" + node.s + "\\r\""
123 for arg in node.args:
124 s += ", "
125 if isinstance(arg, Signal):
126 s += ns.get_name(arg)
127 else:
128 s += str(arg)
129 return "\t"*level + "$display(" + s + ");\n"
130 elif isinstance(node, _Assign):
131 if at == _AT_BLOCKING:
132 assignment = " = "
133 elif at == _AT_NONBLOCKING:
134 assignment = " <= "
135 elif is_variable(node.l):
136 assignment = " = "
137 else:
138 assignment = " <= "
139 return "\t"*level + _printexpr(ns, node.l)[0] + assignment + _printexpr(ns, node.r)[0] + ";\n"
140 elif isinstance(node, collections.Iterable):
141 return "".join(list(map(partial(_printnode, ns, at, level), node)))
142 elif isinstance(node, If):
143 r = "\t"*level + "if (" + _printexpr(ns, node.cond)[0] + ") begin\n"
144 r += _printnode(ns, at, level + 1, node.t)
145 if node.f:
146 r += "\t"*level + "end else begin\n"
147 r += _printnode(ns, at, level + 1, node.f)
148 r += "\t"*level + "end\n"
149 return r
150 elif isinstance(node, Case):
151 if node.cases:
152 r = "\t"*level + "case (" + _printexpr(ns, node.test)[0] + ")\n"
153 css = [(k, v) for k, v in node.cases.items() if isinstance(k, Constant)]
154 css = sorted(css, key=lambda x: x[0].value)
155 for choice, statements in css:
156 r += "\t"*(level + 1) + _printexpr(ns, choice)[0] + ": begin\n"
157 r += _printnode(ns, at, level + 2, statements)
158 r += "\t"*(level + 1) + "end\n"
159 if "default" in node.cases:
160 r += "\t"*(level + 1) + "default: begin\n"
161 r += _printnode(ns, at, level + 2, node.cases["default"])
162 r += "\t"*(level + 1) + "end\n"
163 r += "\t"*level + "endcase\n"
164 return r
165 else:
166 return ""
167 else:
168 raise TypeError("Node of unrecognized type: "+str(type(node)))
169
170
171 def _list_comb_wires(f):
172 r = set()
173 groups = group_by_targets(f.comb)
174 for g in groups:
175 if len(g[1]) == 1 and isinstance(g[1][0], _Assign):
176 r |= g[0]
177 return r
178
179
180 def _printheader(f, ios, name, ns,
181 reg_initialization):
182 sigs = list_signals(f) | list_special_ios(f, True, True, True)
183 special_outs = list_special_ios(f, False, True, True)
184 inouts = list_special_ios(f, False, False, True)
185 targets = list_targets(f) | special_outs
186 wires = _list_comb_wires(f) | special_outs
187 r = "module " + name + "(\n"
188 firstp = True
189 for sig in sorted(ios, key=lambda x: x.duid):
190 if not firstp:
191 r += ",\n"
192 firstp = False
193 if sig in inouts:
194 r += "\tinout " + _printsig(ns, sig)
195 elif sig in targets:
196 if sig in wires:
197 r += "\toutput " + _printsig(ns, sig)
198 else:
199 r += "\toutput reg " + _printsig(ns, sig)
200 else:
201 r += "\tinput " + _printsig(ns, sig)
202 r += "\n);\n\n"
203 for sig in sorted(sigs - ios, key=lambda x: x.duid):
204 if sig in wires:
205 r += "wire " + _printsig(ns, sig) + ";\n"
206 else:
207 if reg_initialization:
208 r += "reg " + _printsig(ns, sig) + " = " + _printexpr(ns, sig.reset)[0] + ";\n"
209 else:
210 r += "reg " + _printsig(ns, sig) + ";\n"
211 r += "\n"
212 return r
213
214
215 def _printcomb(f, ns,
216 display_run,
217 dummy_signal,
218 blocking_assign):
219 r = ""
220 if f.comb:
221 if dummy_signal:
222 # Generate a dummy event to get the simulator
223 # to run the combinatorial process once at the beginning.
224 syn_off = "// synthesis translate_off\n"
225 syn_on = "// synthesis translate_on\n"
226 dummy_s = Signal(name_override="dummy_s")
227 r += syn_off
228 r += "reg " + _printsig(ns, dummy_s) + ";\n"
229 r += "initial " + ns.get_name(dummy_s) + " <= 1'd0;\n"
230 r += syn_on
231
232 groups = group_by_targets(f.comb)
233
234 for n, g in enumerate(groups):
235 if len(g[1]) == 1 and isinstance(g[1][0], _Assign):
236 r += "assign " + _printnode(ns, _AT_BLOCKING, 0, g[1][0])
237 else:
238 if dummy_signal:
239 dummy_d = Signal(name_override="dummy_d")
240 r += "\n" + syn_off
241 r += "reg " + _printsig(ns, dummy_d) + ";\n"
242 r += syn_on
243
244 r += "always @(*) begin\n"
245 if display_run:
246 r += "\t$display(\"Running comb block #" + str(n) + "\");\n"
247 if blocking_assign:
248 for t in g[0]:
249 r += "\t" + ns.get_name(t) + " = " + _printexpr(ns, t.reset)[0] + ";\n"
250 r += _printnode(ns, _AT_BLOCKING, 1, g[1])
251 else:
252 for t in g[0]:
253 r += "\t" + ns.get_name(t) + " <= " + _printexpr(ns, t.reset)[0] + ";\n"
254 r += _printnode(ns, _AT_NONBLOCKING, 1, g[1])
255 if dummy_signal:
256 r += syn_off
257 r += "\t" + ns.get_name(dummy_d) + " <= " + ns.get_name(dummy_s) + ";\n"
258 r += syn_on
259 r += "end\n"
260 r += "\n"
261 return r
262
263
264 def _printsync(f, ns):
265 r = ""
266 for k, v in sorted(f.sync.items(), key=itemgetter(0)):
267 r += "always @(posedge " + ns.get_name(f.clock_domains[k].clk) + ") begin\n"
268 r += _printnode(ns, _AT_SIGNAL, 1, v)
269 r += "end\n\n"
270 return r
271
272
273 def _printspecials(overrides, specials, ns, add_data_file):
274 r = ""
275 for special in sorted(specials, key=lambda x: x.duid):
276 pr = call_special_classmethod(overrides, special, "emit_verilog", ns, add_data_file)
277 if pr is None:
278 raise NotImplementedError("Special " + str(special) + " failed to implement emit_verilog")
279 r += pr
280 return r
281
282
283 def convert(f, ios=None, name="top",
284 special_overrides=dict(),
285 create_clock_domains=True,
286 display_run=False,
287 reg_initialization=True,
288 dummy_signal=True,
289 blocking_assign=False,
290 regular_comb=True):
291 r = ConvOutput()
292 if not isinstance(f, _Fragment):
293 f = f.get_fragment()
294 if ios is None:
295 ios = set()
296
297 for cd_name in sorted(list_clock_domains(f)):
298 try:
299 f.clock_domains[cd_name]
300 except KeyError:
301 if create_clock_domains:
302 cd = ClockDomain(cd_name)
303 f.clock_domains.append(cd)
304 ios |= {cd.clk, cd.rst}
305 else:
306 raise KeyError("Unresolved clock domain: '"+cd_name+"'")
307
308 f = lower_complex_slices(f)
309 insert_resets(f)
310 f = lower_basics(f)
311 fs, lowered_specials = lower_specials(special_overrides, f.specials)
312 f += lower_basics(fs)
313
314 for io in sorted(ios, key=lambda x: x.duid):
315 if io.name_override is None:
316 io_name = io.backtrace[-1][0]
317 if io_name:
318 io.name_override = io_name
319 ns = build_namespace(list_signals(f) \
320 | list_special_ios(f, True, True, True) \
321 | ios, _reserved_keywords)
322 ns.clock_domains = f.clock_domains
323 r.ns = ns
324
325 src = "/* Machine-generated using LiteX gen */\n"
326 src += _printheader(f, ios, name, ns,
327 reg_initialization=reg_initialization)
328 src += _printcomb(f, ns,
329 display_run=display_run,
330 dummy_signal=dummy_signal,
331 blocking_assign=blocking_assign)
332 src += _printsync(f, ns)
333 src += _printspecials(special_overrides, f.specials - lowered_specials, ns, r.add_data_file)
334 src += "endmodule\n"
335 r.set_main_source(src)
336
337 return r