eb49c38c053b41055897a650f7debdd8171c41d9
1 # IEEE Floating Point Adder (Single Precision)
2 # Copyright (C) Jonathan P Dawson 2013
5 from nmigen
import Module
, Signal
, Cat
, Mux
, Array
, Const
6 from nmigen
.lib
.coding
import PriorityEncoder
7 from nmigen
.cli
import main
, verilog
10 from fpbase
import FPNumIn
, FPNumOut
, FPOp
, Overflow
, FPBase
, FPNumBase
11 from fpbase
import MultiShiftRMerge
, Trigger
12 #from fpbase import FPNumShiftMultiRight
15 class FPState(FPBase
):
16 def __init__(self
, state_from
):
17 self
.state_from
= state_from
19 def set_inputs(self
, inputs
):
21 for k
,v
in inputs
.items():
24 def set_outputs(self
, outputs
):
25 self
.outputs
= outputs
26 for k
,v
in outputs
.items():
30 class FPGetSyncOpsMod
:
31 def __init__(self
, width
, num_ops
=2):
33 self
.num_ops
= num_ops
36 for i
in range(num_ops
):
37 inops
.append(Signal(width
, reset_less
=True))
38 outops
.append(Signal(width
, reset_less
=True))
41 self
.stb
= Signal(num_ops
)
43 self
.ready
= Signal(reset_less
=True)
44 self
.out_decode
= Signal(reset_less
=True)
46 def elaborate(self
, platform
):
48 m
.d
.comb
+= self
.ready
.eq(self
.stb
== Const(-1, (self
.num_ops
, False)))
49 m
.d
.comb
+= self
.out_decode
.eq(self
.ack
& self
.ready
)
50 with m
.If(self
.out_decode
):
51 for i
in range(self
.num_ops
):
53 self
.out_op
[i
].eq(self
.in_op
[i
]),
58 return self
.in_op
+ self
.out_op
+ [self
.stb
, self
.ack
]
62 def __init__(self
, width
, num_ops
):
63 Trigger
.__init
__(self
)
65 self
.num_ops
= num_ops
68 for i
in range(num_ops
):
69 res
.append(Signal(width
))
74 for i
in range(self
.num_ops
):
82 def __init__(self
, width
, num_ops
=2, num_rows
=4):
84 self
.num_ops
= num_ops
85 self
.num_rows
= num_rows
86 self
.mmax
= int(log(self
.num_rows
) / log(2))
88 self
.mid
= Signal(self
.mmax
, reset_less
=True) # multiplex id
89 for i
in range(num_rows
):
90 self
.rs
.append(FPGetSyncOpsMod(width
, num_ops
))
91 self
.rs
= Array(self
.rs
)
93 self
.out_op
= FPOps(width
, num_ops
)
95 def elaborate(self
, platform
):
98 pe
= PriorityEncoder(self
.num_rows
)
99 m
.submodules
.selector
= pe
100 m
.submodules
.out_op
= self
.out_op
101 m
.submodules
+= self
.rs
103 # connect priority encoder
105 for i
in range(self
.num_rows
):
106 in_ready
.append(self
.rs
[i
].ready
)
107 m
.d
.comb
+= pe
.i
.eq(Cat(*in_ready
))
109 active
= Signal(reset_less
=True)
110 out_en
= Signal(reset_less
=True)
111 m
.d
.comb
+= active
.eq(~pe
.n
) # encoder active
112 m
.d
.comb
+= out_en
.eq(active
& self
.out_op
.trigger
)
114 # encoder active: ack relevant input, record MID, pass output
117 m
.d
.sync
+= self
.mid
.eq(pe
.o
)
118 m
.d
.sync
+= rs
.ack
.eq(0)
119 m
.d
.sync
+= self
.out_op
.stb
.eq(0)
120 for j
in range(self
.num_ops
):
121 m
.d
.sync
+= self
.out_op
.v
[j
].eq(rs
.out_op
[j
])
123 m
.d
.sync
+= self
.out_op
.stb
.eq(1)
124 # acks all default to zero
125 for i
in range(self
.num_rows
):
126 m
.d
.sync
+= self
.rs
[i
].ack
.eq(1)
132 for i
in range(self
.num_rows
):
134 res
+= inop
.in_op
+ [inop
.stb
]
135 return self
.out_op
.ports() + res
+ [self
.mid
]
139 def __init__(self
, width
):
140 self
.in_op
= FPOp(width
)
141 self
.out_op
= Signal(width
)
142 self
.out_decode
= Signal(reset_less
=True)
144 def elaborate(self
, platform
):
146 m
.d
.comb
+= self
.out_decode
.eq((self
.in_op
.ack
) & (self
.in_op
.stb
))
147 m
.submodules
.get_op_in
= self
.in_op
148 #m.submodules.get_op_out = self.out_op
149 with m
.If(self
.out_decode
):
151 self
.out_op
.eq(self
.in_op
.v
),
156 class FPGetOp(FPState
):
160 def __init__(self
, in_state
, out_state
, in_op
, width
):
161 FPState
.__init
__(self
, in_state
)
162 self
.out_state
= out_state
163 self
.mod
= FPGetOpMod(width
)
165 self
.out_op
= Signal(width
)
166 self
.out_decode
= Signal(reset_less
=True)
168 def setup(self
, m
, in_op
):
169 """ links module to inputs and outputs
171 setattr(m
.submodules
, self
.state_from
, self
.mod
)
172 m
.d
.comb
+= self
.mod
.in_op
.eq(in_op
)
173 #m.d.comb += self.out_op.eq(self.mod.out_op)
174 m
.d
.comb
+= self
.out_decode
.eq(self
.mod
.out_decode
)
177 with m
.If(self
.out_decode
):
178 m
.next
= self
.out_state
180 self
.in_op
.ack
.eq(0),
181 self
.out_op
.eq(self
.mod
.out_op
)
184 m
.d
.sync
+= self
.in_op
.ack
.eq(1)
187 class FPGet2OpMod(Trigger
):
188 def __init__(self
, width
):
189 Trigger
.__init
__(self
)
190 self
.in_op1
= Signal(width
, reset_less
=True)
191 self
.in_op2
= Signal(width
, reset_less
=True)
192 self
.out_op1
= FPNumIn(None, width
)
193 self
.out_op2
= FPNumIn(None, width
)
195 def elaborate(self
, platform
):
196 m
= Trigger
.elaborate(self
, platform
)
197 #m.submodules.get_op_in = self.in_op
198 m
.submodules
.get_op1_out
= self
.out_op1
199 m
.submodules
.get_op2_out
= self
.out_op2
200 with m
.If(self
.trigger
):
202 self
.out_op1
.decode(self
.in_op1
),
203 self
.out_op2
.decode(self
.in_op2
),
208 class FPGet2Op(FPState
):
212 def __init__(self
, in_state
, out_state
, in_op1
, in_op2
, width
):
213 FPState
.__init
__(self
, in_state
)
214 self
.out_state
= out_state
215 self
.mod
= FPGet2OpMod(width
)
218 self
.out_op1
= FPNumIn(None, width
)
219 self
.out_op2
= FPNumIn(None, width
)
220 self
.in_stb
= Signal(reset_less
=True)
221 self
.out_ack
= Signal(reset_less
=True)
222 self
.out_decode
= Signal(reset_less
=True)
224 def setup(self
, m
, in_op1
, in_op2
, in_stb
, in_ack
):
225 """ links module to inputs and outputs
227 m
.submodules
.get_ops
= self
.mod
228 m
.d
.comb
+= self
.mod
.in_op1
.eq(in_op1
)
229 m
.d
.comb
+= self
.mod
.in_op2
.eq(in_op2
)
230 m
.d
.comb
+= self
.mod
.stb
.eq(in_stb
)
231 m
.d
.comb
+= self
.out_ack
.eq(self
.mod
.ack
)
232 m
.d
.comb
+= self
.out_decode
.eq(self
.mod
.trigger
)
233 m
.d
.comb
+= in_ack
.eq(self
.mod
.ack
)
236 with m
.If(self
.out_decode
):
237 m
.next
= self
.out_state
240 #self.out_op1.v.eq(self.mod.out_op1.v),
241 #self.out_op2.v.eq(self.mod.out_op2.v),
242 self
.out_op1
.eq(self
.mod
.out_op1
),
243 self
.out_op2
.eq(self
.mod
.out_op2
)
246 m
.d
.sync
+= self
.mod
.ack
.eq(1)
250 def __init__(self
, width
, m_extra
=True):
251 self
.a
= FPNumBase(width
, m_extra
)
252 self
.b
= FPNumBase(width
, m_extra
)
255 return [self
.a
.eq(i
.a
), self
.b
.eq(i
.b
)]
258 class FPAddSpecialCasesMod
:
259 """ special cases: NaNs, infs, zeros, denormalised
260 NOTE: some of these are unique to add. see "Special Operations"
261 https://steve.hollasch.net/cgindex/coding/ieeefloat.html
264 def __init__(self
, width
):
266 self
.i
= self
.ispec()
267 self
.out_z
= self
.ospec()
268 self
.out_do_z
= Signal(reset_less
=True)
271 return FPNumBase2Ops(self
.width
)
274 return FPNumOut(self
.width
, False)
276 def setup(self
, m
, in_a
, in_b
, out_do_z
):
277 """ links module to inputs and outputs
279 m
.submodules
.specialcases
= self
280 m
.d
.comb
+= self
.i
.a
.eq(in_a
)
281 m
.d
.comb
+= self
.i
.b
.eq(in_b
)
282 m
.d
.comb
+= out_do_z
.eq(self
.out_do_z
)
284 def elaborate(self
, platform
):
287 m
.submodules
.sc_in_a
= self
.i
.a
288 m
.submodules
.sc_in_b
= self
.i
.b
289 m
.submodules
.sc_out_z
= self
.out_z
292 m
.d
.comb
+= s_nomatch
.eq(self
.i
.a
.s
!= self
.i
.b
.s
)
295 m
.d
.comb
+= m_match
.eq(self
.i
.a
.m
== self
.i
.b
.m
)
297 # if a is NaN or b is NaN return NaN
298 with m
.If(self
.i
.a
.is_nan | self
.i
.b
.is_nan
):
299 m
.d
.comb
+= self
.out_do_z
.eq(1)
300 m
.d
.comb
+= self
.out_z
.nan(0)
302 # XXX WEIRDNESS for FP16 non-canonical NaN handling
305 ## if a is zero and b is NaN return -b
306 #with m.If(a.is_zero & (a.s==0) & b.is_nan):
307 # m.d.comb += self.out_do_z.eq(1)
308 # m.d.comb += z.create(b.s, b.e, Cat(b.m[3:-2], ~b.m[0]))
310 ## if b is zero and a is NaN return -a
311 #with m.Elif(b.is_zero & (b.s==0) & a.is_nan):
312 # m.d.comb += self.out_do_z.eq(1)
313 # m.d.comb += z.create(a.s, a.e, Cat(a.m[3:-2], ~a.m[0]))
315 ## if a is -zero and b is NaN return -b
316 #with m.Elif(a.is_zero & (a.s==1) & b.is_nan):
317 # m.d.comb += self.out_do_z.eq(1)
318 # m.d.comb += z.create(a.s & b.s, b.e, Cat(b.m[3:-2], 1))
320 ## if b is -zero and a is NaN return -a
321 #with m.Elif(b.is_zero & (b.s==1) & a.is_nan):
322 # m.d.comb += self.out_do_z.eq(1)
323 # m.d.comb += z.create(a.s & b.s, a.e, Cat(a.m[3:-2], 1))
325 # if a is inf return inf (or NaN)
326 with m
.Elif(self
.i
.a
.is_inf
):
327 m
.d
.comb
+= self
.out_do_z
.eq(1)
328 m
.d
.comb
+= self
.out_z
.inf(self
.i
.a
.s
)
329 # if a is inf and signs don't match return NaN
330 with m
.If(self
.i
.b
.exp_128
& s_nomatch
):
331 m
.d
.comb
+= self
.out_z
.nan(0)
333 # if b is inf return inf
334 with m
.Elif(self
.i
.b
.is_inf
):
335 m
.d
.comb
+= self
.out_do_z
.eq(1)
336 m
.d
.comb
+= self
.out_z
.inf(self
.i
.b
.s
)
338 # if a is zero and b zero return signed-a/b
339 with m
.Elif(self
.i
.a
.is_zero
& self
.i
.b
.is_zero
):
340 m
.d
.comb
+= self
.out_do_z
.eq(1)
341 m
.d
.comb
+= self
.out_z
.create(self
.i
.a
.s
& self
.i
.b
.s
,
345 # if a is zero return b
346 with m
.Elif(self
.i
.a
.is_zero
):
347 m
.d
.comb
+= self
.out_do_z
.eq(1)
348 m
.d
.comb
+= self
.out_z
.create(self
.i
.b
.s
, self
.i
.b
.e
,
351 # if b is zero return a
352 with m
.Elif(self
.i
.b
.is_zero
):
353 m
.d
.comb
+= self
.out_do_z
.eq(1)
354 m
.d
.comb
+= self
.out_z
.create(self
.i
.a
.s
, self
.i
.a
.e
,
357 # if a equal to -b return zero (+ve zero)
358 with m
.Elif(s_nomatch
& m_match
& (self
.i
.a
.e
== self
.i
.b
.e
)):
359 m
.d
.comb
+= self
.out_do_z
.eq(1)
360 m
.d
.comb
+= self
.out_z
.zero(0)
362 # Denormalised Number checks
364 m
.d
.comb
+= self
.out_do_z
.eq(0)
370 def __init__(self
, id_wid
):
373 self
.in_mid
= Signal(id_wid
, reset_less
=True)
374 self
.out_mid
= Signal(id_wid
, reset_less
=True)
380 if self
.id_wid
is not None:
381 m
.d
.sync
+= self
.out_mid
.eq(self
.in_mid
)
384 class FPAddSpecialCases(FPState
, FPID
):
385 """ special cases: NaNs, infs, zeros, denormalised
386 NOTE: some of these are unique to add. see "Special Operations"
387 https://steve.hollasch.net/cgindex/coding/ieeefloat.html
390 def __init__(self
, width
, id_wid
):
391 FPState
.__init
__(self
, "special_cases")
392 FPID
.__init
__(self
, id_wid
)
393 self
.mod
= FPAddSpecialCasesMod(width
)
394 self
.out_z
= self
.mod
.ospec()
395 self
.out_do_z
= Signal(reset_less
=True)
397 def setup(self
, m
, in_a
, in_b
, in_mid
):
398 """ links module to inputs and outputs
400 self
.mod
.setup(m
, in_a
, in_b
, self
.out_do_z
)
401 if self
.in_mid
is not None:
402 m
.d
.comb
+= self
.in_mid
.eq(in_mid
)
406 with m
.If(self
.out_do_z
):
407 m
.d
.sync
+= self
.out_z
.v
.eq(self
.mod
.out_z
.v
) # only take the output
410 m
.next
= "denormalise"
413 class FPAddSpecialCasesDeNorm(FPState
, FPID
):
414 """ special cases: NaNs, infs, zeros, denormalised
415 NOTE: some of these are unique to add. see "Special Operations"
416 https://steve.hollasch.net/cgindex/coding/ieeefloat.html
419 def __init__(self
, width
, id_wid
):
420 FPState
.__init
__(self
, "special_cases")
421 FPID
.__init
__(self
, id_wid
)
422 self
.smod
= FPAddSpecialCasesMod(width
)
423 self
.out_z
= self
.smod
.ospec()
424 self
.out_do_z
= Signal(reset_less
=True)
426 self
.dmod
= FPAddDeNormMod(width
)
427 self
.o
= self
.dmod
.ospec()
429 def setup(self
, m
, in_a
, in_b
, in_mid
):
430 """ links module to inputs and outputs
432 self
.smod
.setup(m
, in_a
, in_b
, self
.out_do_z
)
433 self
.dmod
.setup(m
, in_a
, in_b
)
434 if self
.in_mid
is not None:
435 m
.d
.comb
+= self
.in_mid
.eq(in_mid
)
439 with m
.If(self
.out_do_z
):
440 m
.d
.sync
+= self
.out_z
.v
.eq(self
.smod
.out_z
.v
) # only take output
444 m
.d
.sync
+= self
.o
.a
.eq(self
.dmod
.o
.a
)
445 m
.d
.sync
+= self
.o
.b
.eq(self
.dmod
.o
.b
)
448 class FPAddDeNormMod(FPState
):
450 def __init__(self
, width
):
452 self
.i
= self
.ispec()
453 self
.o
= self
.ospec()
456 return FPNumBase2Ops(self
.width
)
459 return FPNumBase2Ops(self
.width
)
461 def setup(self
, m
, in_a
, in_b
):
462 """ links module to inputs and outputs
464 m
.submodules
.denormalise
= self
465 m
.d
.comb
+= self
.i
.a
.eq(in_a
)
466 m
.d
.comb
+= self
.i
.b
.eq(in_b
)
468 def elaborate(self
, platform
):
470 m
.submodules
.denorm_in_a
= self
.i
.a
471 m
.submodules
.denorm_in_b
= self
.i
.b
472 m
.submodules
.denorm_out_a
= self
.o
.a
473 m
.submodules
.denorm_out_b
= self
.o
.b
474 # hmmm, don't like repeating identical code
475 m
.d
.comb
+= self
.o
.a
.eq(self
.i
.a
)
476 with m
.If(self
.i
.a
.exp_n127
):
477 m
.d
.comb
+= self
.o
.a
.e
.eq(self
.i
.a
.N126
) # limit a exponent
479 m
.d
.comb
+= self
.o
.a
.m
[-1].eq(1) # set top mantissa bit
481 m
.d
.comb
+= self
.o
.b
.eq(self
.i
.b
)
482 with m
.If(self
.i
.b
.exp_n127
):
483 m
.d
.comb
+= self
.o
.b
.e
.eq(self
.i
.b
.N126
) # limit a exponent
485 m
.d
.comb
+= self
.o
.b
.m
[-1].eq(1) # set top mantissa bit
490 class FPAddDeNorm(FPState
, FPID
):
492 def __init__(self
, width
, id_wid
):
493 FPState
.__init
__(self
, "denormalise")
494 FPID
.__init
__(self
, id_wid
)
495 self
.mod
= FPAddDeNormMod(width
)
496 self
.out_a
= FPNumBase(width
)
497 self
.out_b
= FPNumBase(width
)
499 def setup(self
, m
, in_a
, in_b
, in_mid
):
500 """ links module to inputs and outputs
502 self
.mod
.setup(m
, in_a
, in_b
)
503 if self
.in_mid
is not None:
504 m
.d
.comb
+= self
.in_mid
.eq(in_mid
)
508 # Denormalised Number checks
510 m
.d
.sync
+= self
.out_a
.eq(self
.mod
.out_a
)
511 m
.d
.sync
+= self
.out_b
.eq(self
.mod
.out_b
)
514 class FPAddAlignMultiMod(FPState
):
516 def __init__(self
, width
):
517 self
.in_a
= FPNumBase(width
)
518 self
.in_b
= FPNumBase(width
)
519 self
.out_a
= FPNumIn(None, width
)
520 self
.out_b
= FPNumIn(None, width
)
521 self
.exp_eq
= Signal(reset_less
=True)
523 def elaborate(self
, platform
):
524 # This one however (single-cycle) will do the shift
529 m
.submodules
.align_in_a
= self
.in_a
530 m
.submodules
.align_in_b
= self
.in_b
531 m
.submodules
.align_out_a
= self
.out_a
532 m
.submodules
.align_out_b
= self
.out_b
534 # NOTE: this does *not* do single-cycle multi-shifting,
535 # it *STAYS* in the align state until exponents match
537 # exponent of a greater than b: shift b down
538 m
.d
.comb
+= self
.exp_eq
.eq(0)
539 m
.d
.comb
+= self
.out_a
.eq(self
.in_a
)
540 m
.d
.comb
+= self
.out_b
.eq(self
.in_b
)
541 agtb
= Signal(reset_less
=True)
542 altb
= Signal(reset_less
=True)
543 m
.d
.comb
+= agtb
.eq(self
.in_a
.e
> self
.in_b
.e
)
544 m
.d
.comb
+= altb
.eq(self
.in_a
.e
< self
.in_b
.e
)
546 m
.d
.comb
+= self
.out_b
.shift_down(self
.in_b
)
547 # exponent of b greater than a: shift a down
549 m
.d
.comb
+= self
.out_a
.shift_down(self
.in_a
)
550 # exponents equal: move to next stage.
552 m
.d
.comb
+= self
.exp_eq
.eq(1)
556 class FPAddAlignMulti(FPState
, FPID
):
558 def __init__(self
, width
, id_wid
):
559 FPID
.__init
__(self
, id_wid
)
560 FPState
.__init
__(self
, "align")
561 self
.mod
= FPAddAlignMultiMod(width
)
562 self
.out_a
= FPNumIn(None, width
)
563 self
.out_b
= FPNumIn(None, width
)
564 self
.exp_eq
= Signal(reset_less
=True)
566 def setup(self
, m
, in_a
, in_b
, in_mid
):
567 """ links module to inputs and outputs
569 m
.submodules
.align
= self
.mod
570 m
.d
.comb
+= self
.mod
.in_a
.eq(in_a
)
571 m
.d
.comb
+= self
.mod
.in_b
.eq(in_b
)
572 #m.d.comb += self.out_a.eq(self.mod.out_a)
573 #m.d.comb += self.out_b.eq(self.mod.out_b)
574 m
.d
.comb
+= self
.exp_eq
.eq(self
.mod
.exp_eq
)
575 if self
.in_mid
is not None:
576 m
.d
.comb
+= self
.in_mid
.eq(in_mid
)
580 m
.d
.sync
+= self
.out_a
.eq(self
.mod
.out_a
)
581 m
.d
.sync
+= self
.out_b
.eq(self
.mod
.out_b
)
582 with m
.If(self
.exp_eq
):
588 def __init__(self
, width
):
589 self
.a
= FPNumIn(None, width
)
590 self
.b
= FPNumIn(None, width
)
593 return [self
.a
.eq(i
.a
), self
.b
.eq(i
.b
)]
596 class FPAddAlignSingleMod
:
598 def __init__(self
, width
):
600 self
.i
= self
.ispec()
601 self
.o
= self
.ospec()
604 return FPNumBase2Ops(self
.width
)
607 return FPNumIn2Ops(self
.width
)
609 def setup(self
, m
, in_a
, in_b
):
610 """ links module to inputs and outputs
612 m
.submodules
.align
= self
613 m
.d
.comb
+= self
.i
.a
.eq(in_a
)
614 m
.d
.comb
+= self
.i
.b
.eq(in_b
)
616 def elaborate(self
, platform
):
617 """ Aligns A against B or B against A, depending on which has the
618 greater exponent. This is done in a *single* cycle using
619 variable-width bit-shift
621 the shifter used here is quite expensive in terms of gates.
622 Mux A or B in (and out) into temporaries, as only one of them
623 needs to be aligned against the other
627 m
.submodules
.align_in_a
= self
.i
.a
628 m
.submodules
.align_in_b
= self
.i
.b
629 m
.submodules
.align_out_a
= self
.o
.a
630 m
.submodules
.align_out_b
= self
.o
.b
632 # temporary (muxed) input and output to be shifted
633 t_inp
= FPNumBase(self
.width
)
634 t_out
= FPNumIn(None, self
.width
)
635 espec
= (len(self
.i
.a
.e
), True)
636 msr
= MultiShiftRMerge(self
.i
.a
.m_width
, espec
)
637 m
.submodules
.align_t_in
= t_inp
638 m
.submodules
.align_t_out
= t_out
639 m
.submodules
.multishift_r
= msr
641 ediff
= Signal(espec
, reset_less
=True)
642 ediffr
= Signal(espec
, reset_less
=True)
643 tdiff
= Signal(espec
, reset_less
=True)
644 elz
= Signal(reset_less
=True)
645 egz
= Signal(reset_less
=True)
647 # connect multi-shifter to t_inp/out mantissa (and tdiff)
648 m
.d
.comb
+= msr
.inp
.eq(t_inp
.m
)
649 m
.d
.comb
+= msr
.diff
.eq(tdiff
)
650 m
.d
.comb
+= t_out
.m
.eq(msr
.m
)
651 m
.d
.comb
+= t_out
.e
.eq(t_inp
.e
+ tdiff
)
652 m
.d
.comb
+= t_out
.s
.eq(t_inp
.s
)
654 m
.d
.comb
+= ediff
.eq(self
.i
.a
.e
- self
.i
.b
.e
)
655 m
.d
.comb
+= ediffr
.eq(self
.i
.b
.e
- self
.i
.a
.e
)
656 m
.d
.comb
+= elz
.eq(self
.i
.a
.e
< self
.i
.b
.e
)
657 m
.d
.comb
+= egz
.eq(self
.i
.a
.e
> self
.i
.b
.e
)
659 # default: A-exp == B-exp, A and B untouched (fall through)
660 m
.d
.comb
+= self
.o
.a
.eq(self
.i
.a
)
661 m
.d
.comb
+= self
.o
.b
.eq(self
.i
.b
)
662 # only one shifter (muxed)
663 #m.d.comb += t_out.shift_down_multi(tdiff, t_inp)
664 # exponent of a greater than b: shift b down
666 m
.d
.comb
+= [t_inp
.eq(self
.i
.b
),
669 self
.o
.b
.s
.eq(self
.i
.b
.s
), # whoops forgot sign
671 # exponent of b greater than a: shift a down
673 m
.d
.comb
+= [t_inp
.eq(self
.i
.a
),
676 self
.o
.a
.s
.eq(self
.i
.a
.s
), # whoops forgot sign
681 class FPAddAlignSingle(FPState
, FPID
):
683 def __init__(self
, width
, id_wid
):
684 FPState
.__init
__(self
, "align")
685 FPID
.__init
__(self
, id_wid
)
686 self
.mod
= FPAddAlignSingleMod(width
)
687 self
.out_a
= FPNumIn(None, width
)
688 self
.out_b
= FPNumIn(None, width
)
690 def setup(self
, m
, in_a
, in_b
, in_mid
):
691 """ links module to inputs and outputs
693 self
.mod
.setup(m
, in_a
, in_b
)
694 if self
.in_mid
is not None:
695 m
.d
.comb
+= self
.in_mid
.eq(in_mid
)
699 # NOTE: could be done as comb
700 m
.d
.sync
+= self
.out_a
.eq(self
.mod
.out_a
)
701 m
.d
.sync
+= self
.out_b
.eq(self
.mod
.out_b
)
705 class FPAddAlignSingleAdd(FPState
, FPID
):
707 def __init__(self
, width
, id_wid
):
708 FPState
.__init
__(self
, "align")
709 FPID
.__init
__(self
, id_wid
)
710 self
.mod
= FPAddAlignSingleMod(width
)
711 self
.o
= self
.mod
.ospec()
713 self
.a0mod
= FPAddStage0Mod(width
)
714 self
.a0_out_z
= FPNumBase(width
, False)
715 self
.out_tot
= Signal(self
.a0_out_z
.m_width
+ 4, reset_less
=True)
716 self
.a0_out_z
= FPNumBase(width
, False)
718 self
.a1mod
= FPAddStage1Mod(width
)
719 self
.out_z
= FPNumBase(width
, False)
720 self
.out_of
= Overflow()
722 def setup(self
, m
, in_a
, in_b
, in_mid
):
723 """ links module to inputs and outputs
725 self
.mod
.setup(m
, in_a
, in_b
)
726 m
.d
.comb
+= self
.o
.eq(self
.mod
.o
)
728 self
.a0mod
.setup(m
, self
.o
.a
, self
.o
.b
)
729 m
.d
.comb
+= self
.a0_out_z
.eq(self
.a0mod
.out_z
)
730 m
.d
.comb
+= self
.out_tot
.eq(self
.a0mod
.out_tot
)
732 self
.a1mod
.setup(m
, self
.out_tot
, self
.a0_out_z
)
734 if self
.in_mid
is not None:
735 m
.d
.comb
+= self
.in_mid
.eq(in_mid
)
739 m
.d
.sync
+= self
.out_of
.eq(self
.a1mod
.out_of
)
740 m
.d
.sync
+= self
.out_z
.eq(self
.a1mod
.out_z
)
741 m
.next
= "normalise_1"
744 class FPAddStage0Mod
:
746 def __init__(self
, width
):
747 self
.in_a
= FPNumBase(width
)
748 self
.in_b
= FPNumBase(width
)
749 self
.out_z
= FPNumBase(width
, False)
750 self
.out_tot
= Signal(self
.out_z
.m_width
+ 4, reset_less
=True)
752 def setup(self
, m
, in_a
, in_b
):
753 """ links module to inputs and outputs
755 m
.submodules
.add0
= self
756 m
.d
.comb
+= self
.in_a
.eq(in_a
)
757 m
.d
.comb
+= self
.in_b
.eq(in_b
)
759 def elaborate(self
, platform
):
761 m
.submodules
.add0_in_a
= self
.in_a
762 m
.submodules
.add0_in_b
= self
.in_b
763 m
.submodules
.add0_out_z
= self
.out_z
765 m
.d
.comb
+= self
.out_z
.e
.eq(self
.in_a
.e
)
767 # store intermediate tests (and zero-extended mantissas)
768 seq
= Signal(reset_less
=True)
769 mge
= Signal(reset_less
=True)
770 am0
= Signal(len(self
.in_a
.m
)+1, reset_less
=True)
771 bm0
= Signal(len(self
.in_b
.m
)+1, reset_less
=True)
772 m
.d
.comb
+= [seq
.eq(self
.in_a
.s
== self
.in_b
.s
),
773 mge
.eq(self
.in_a
.m
>= self
.in_b
.m
),
774 am0
.eq(Cat(self
.in_a
.m
, 0)),
775 bm0
.eq(Cat(self
.in_b
.m
, 0))
777 # same-sign (both negative or both positive) add mantissas
780 self
.out_tot
.eq(am0
+ bm0
),
781 self
.out_z
.s
.eq(self
.in_a
.s
)
783 # a mantissa greater than b, use a
786 self
.out_tot
.eq(am0
- bm0
),
787 self
.out_z
.s
.eq(self
.in_a
.s
)
789 # b mantissa greater than a, use b
792 self
.out_tot
.eq(bm0
- am0
),
793 self
.out_z
.s
.eq(self
.in_b
.s
)
798 class FPAddStage0(FPState
, FPID
):
799 """ First stage of add. covers same-sign (add) and subtract
800 special-casing when mantissas are greater or equal, to
801 give greatest accuracy.
804 def __init__(self
, width
, id_wid
):
805 FPState
.__init
__(self
, "add_0")
806 FPID
.__init
__(self
, id_wid
)
807 self
.mod
= FPAddStage0Mod(width
)
808 self
.out_z
= FPNumBase(width
, False)
809 self
.out_tot
= Signal(self
.out_z
.m_width
+ 4, reset_less
=True)
811 def setup(self
, m
, in_a
, in_b
, in_mid
):
812 """ links module to inputs and outputs
814 self
.mod
.setup(m
, in_a
, in_b
)
815 if self
.in_mid
is not None:
816 m
.d
.comb
+= self
.in_mid
.eq(in_mid
)
820 # NOTE: these could be done as combinatorial (merge add0+add1)
821 m
.d
.sync
+= self
.out_z
.eq(self
.mod
.out_z
)
822 m
.d
.sync
+= self
.out_tot
.eq(self
.mod
.out_tot
)
826 class FPAddStage1Mod(FPState
):
827 """ Second stage of add: preparation for normalisation.
828 detects when tot sum is too big (tot[27] is kinda a carry bit)
831 def __init__(self
, width
):
832 self
.out_norm
= Signal(reset_less
=True)
833 self
.in_z
= FPNumBase(width
, False)
834 self
.in_tot
= Signal(self
.in_z
.m_width
+ 4, reset_less
=True)
835 self
.out_z
= FPNumBase(width
, False)
836 self
.out_of
= Overflow()
838 def setup(self
, m
, in_tot
, in_z
):
839 """ links module to inputs and outputs
841 m
.submodules
.add1
= self
842 m
.submodules
.add1_out_overflow
= self
.out_of
844 m
.d
.comb
+= self
.in_z
.eq(in_z
)
845 m
.d
.comb
+= self
.in_tot
.eq(in_tot
)
847 def elaborate(self
, platform
):
849 #m.submodules.norm1_in_overflow = self.in_of
850 #m.submodules.norm1_out_overflow = self.out_of
851 #m.submodules.norm1_in_z = self.in_z
852 #m.submodules.norm1_out_z = self.out_z
853 m
.d
.comb
+= self
.out_z
.eq(self
.in_z
)
854 # tot[-1] (MSB) gets set when the sum overflows. shift result down
855 with m
.If(self
.in_tot
[-1]):
857 self
.out_z
.m
.eq(self
.in_tot
[4:]),
858 self
.out_of
.m0
.eq(self
.in_tot
[4]),
859 self
.out_of
.guard
.eq(self
.in_tot
[3]),
860 self
.out_of
.round_bit
.eq(self
.in_tot
[2]),
861 self
.out_of
.sticky
.eq(self
.in_tot
[1] | self
.in_tot
[0]),
862 self
.out_z
.e
.eq(self
.in_z
.e
+ 1)
864 # tot[-1] (MSB) zero case
867 self
.out_z
.m
.eq(self
.in_tot
[3:]),
868 self
.out_of
.m0
.eq(self
.in_tot
[3]),
869 self
.out_of
.guard
.eq(self
.in_tot
[2]),
870 self
.out_of
.round_bit
.eq(self
.in_tot
[1]),
871 self
.out_of
.sticky
.eq(self
.in_tot
[0])
876 class FPAddStage1(FPState
, FPID
):
878 def __init__(self
, width
, id_wid
):
879 FPState
.__init
__(self
, "add_1")
880 FPID
.__init
__(self
, id_wid
)
881 self
.mod
= FPAddStage1Mod(width
)
882 self
.out_z
= FPNumBase(width
, False)
883 self
.out_of
= Overflow()
884 self
.norm_stb
= Signal()
886 def setup(self
, m
, in_tot
, in_z
, in_mid
):
887 """ links module to inputs and outputs
889 self
.mod
.setup(m
, in_tot
, in_z
)
891 m
.d
.sync
+= self
.norm_stb
.eq(0) # sets to zero when not in add1 state
893 if self
.in_mid
is not None:
894 m
.d
.comb
+= self
.in_mid
.eq(in_mid
)
898 m
.d
.sync
+= self
.out_of
.eq(self
.mod
.out_of
)
899 m
.d
.sync
+= self
.out_z
.eq(self
.mod
.out_z
)
900 m
.d
.sync
+= self
.norm_stb
.eq(1)
901 m
.next
= "normalise_1"
904 class FPNormaliseModSingle
:
906 def __init__(self
, width
):
908 self
.in_z
= FPNumBase(width
, False)
909 self
.out_z
= FPNumBase(width
, False)
911 def setup(self
, m
, in_z
, out_z
, modname
):
912 """ links module to inputs and outputs
914 m
.submodules
.normalise
= self
915 m
.d
.comb
+= self
.in_z
.eq(in_z
)
916 m
.d
.comb
+= out_z
.eq(self
.out_z
)
918 def elaborate(self
, platform
):
921 mwid
= self
.out_z
.m_width
+2
922 pe
= PriorityEncoder(mwid
)
923 m
.submodules
.norm_pe
= pe
925 m
.submodules
.norm1_out_z
= self
.out_z
926 m
.submodules
.norm1_in_z
= self
.in_z
928 in_z
= FPNumBase(self
.width
, False)
930 m
.submodules
.norm1_insel_z
= in_z
931 m
.submodules
.norm1_insel_overflow
= in_of
933 espec
= (len(in_z
.e
), True)
934 ediff_n126
= Signal(espec
, reset_less
=True)
935 msr
= MultiShiftRMerge(mwid
, espec
)
936 m
.submodules
.multishift_r
= msr
938 m
.d
.comb
+= in_z
.eq(self
.in_z
)
939 m
.d
.comb
+= in_of
.eq(self
.in_of
)
940 # initialise out from in (overridden below)
941 m
.d
.comb
+= self
.out_z
.eq(in_z
)
942 m
.d
.comb
+= self
.out_of
.eq(in_of
)
943 # normalisation increase/decrease conditions
944 decrease
= Signal(reset_less
=True)
945 m
.d
.comb
+= decrease
.eq(in_z
.m_msbzero
)
948 # *sigh* not entirely obvious: count leading zeros (clz)
949 # with a PriorityEncoder: to find from the MSB
950 # we reverse the order of the bits.
951 temp_m
= Signal(mwid
, reset_less
=True)
952 temp_s
= Signal(mwid
+1, reset_less
=True)
953 clz
= Signal((len(in_z
.e
), True), reset_less
=True)
955 # cat round and guard bits back into the mantissa
956 temp_m
.eq(Cat(in_of
.round_bit
, in_of
.guard
, in_z
.m
)),
957 pe
.i
.eq(temp_m
[::-1]), # inverted
958 clz
.eq(pe
.o
), # count zeros from MSB down
959 temp_s
.eq(temp_m
<< clz
), # shift mantissa UP
960 self
.out_z
.e
.eq(in_z
.e
- clz
), # DECREASE exponent
961 self
.out_z
.m
.eq(temp_s
[2:]), # exclude bits 0&1
967 class FPNorm1ModSingle
:
969 def __init__(self
, width
):
971 self
.out_norm
= Signal(reset_less
=True)
972 self
.in_z
= FPNumBase(width
, False)
973 self
.in_of
= Overflow()
974 self
.out_z
= FPNumBase(width
, False)
975 self
.out_of
= Overflow()
977 def setup(self
, m
, in_z
, in_of
, out_z
):
978 """ links module to inputs and outputs
980 m
.submodules
.normalise_1
= self
982 m
.d
.comb
+= self
.in_z
.eq(in_z
)
983 m
.d
.comb
+= self
.in_of
.eq(in_of
)
985 m
.d
.comb
+= out_z
.eq(self
.out_z
)
987 def elaborate(self
, platform
):
990 mwid
= self
.out_z
.m_width
+2
991 pe
= PriorityEncoder(mwid
)
992 m
.submodules
.norm_pe
= pe
994 m
.submodules
.norm1_out_z
= self
.out_z
995 m
.submodules
.norm1_out_overflow
= self
.out_of
996 m
.submodules
.norm1_in_z
= self
.in_z
997 m
.submodules
.norm1_in_overflow
= self
.in_of
999 in_z
= FPNumBase(self
.width
, False)
1001 m
.submodules
.norm1_insel_z
= in_z
1002 m
.submodules
.norm1_insel_overflow
= in_of
1004 espec
= (len(in_z
.e
), True)
1005 ediff_n126
= Signal(espec
, reset_less
=True)
1006 msr
= MultiShiftRMerge(mwid
, espec
)
1007 m
.submodules
.multishift_r
= msr
1009 m
.d
.comb
+= in_z
.eq(self
.in_z
)
1010 m
.d
.comb
+= in_of
.eq(self
.in_of
)
1011 # initialise out from in (overridden below)
1012 m
.d
.comb
+= self
.out_z
.eq(in_z
)
1013 m
.d
.comb
+= self
.out_of
.eq(in_of
)
1014 # normalisation increase/decrease conditions
1015 decrease
= Signal(reset_less
=True)
1016 increase
= Signal(reset_less
=True)
1017 m
.d
.comb
+= decrease
.eq(in_z
.m_msbzero
& in_z
.exp_gt_n126
)
1018 m
.d
.comb
+= increase
.eq(in_z
.exp_lt_n126
)
1020 with m
.If(decrease
):
1021 # *sigh* not entirely obvious: count leading zeros (clz)
1022 # with a PriorityEncoder: to find from the MSB
1023 # we reverse the order of the bits.
1024 temp_m
= Signal(mwid
, reset_less
=True)
1025 temp_s
= Signal(mwid
+1, reset_less
=True)
1026 clz
= Signal((len(in_z
.e
), True), reset_less
=True)
1027 # make sure that the amount to decrease by does NOT
1028 # go below the minimum non-INF/NaN exponent
1029 limclz
= Mux(in_z
.exp_sub_n126
> pe
.o
, pe
.o
,
1032 # cat round and guard bits back into the mantissa
1033 temp_m
.eq(Cat(in_of
.round_bit
, in_of
.guard
, in_z
.m
)),
1034 pe
.i
.eq(temp_m
[::-1]), # inverted
1035 clz
.eq(limclz
), # count zeros from MSB down
1036 temp_s
.eq(temp_m
<< clz
), # shift mantissa UP
1037 self
.out_z
.e
.eq(in_z
.e
- clz
), # DECREASE exponent
1038 self
.out_z
.m
.eq(temp_s
[2:]), # exclude bits 0&1
1039 self
.out_of
.m0
.eq(temp_s
[2]), # copy of mantissa[0]
1040 # overflow in bits 0..1: got shifted too (leave sticky)
1041 self
.out_of
.guard
.eq(temp_s
[1]), # guard
1042 self
.out_of
.round_bit
.eq(temp_s
[0]), # round
1045 with m
.Elif(increase
):
1046 temp_m
= Signal(mwid
+1, reset_less
=True)
1048 temp_m
.eq(Cat(in_of
.sticky
, in_of
.round_bit
, in_of
.guard
,
1050 ediff_n126
.eq(in_z
.N126
- in_z
.e
),
1051 # connect multi-shifter to inp/out mantissa (and ediff)
1053 msr
.diff
.eq(ediff_n126
),
1054 self
.out_z
.m
.eq(msr
.m
[3:]),
1055 self
.out_of
.m0
.eq(temp_s
[3]), # copy of mantissa[0]
1056 # overflow in bits 0..1: got shifted too (leave sticky)
1057 self
.out_of
.guard
.eq(temp_s
[2]), # guard
1058 self
.out_of
.round_bit
.eq(temp_s
[1]), # round
1059 self
.out_of
.sticky
.eq(temp_s
[0]), # sticky
1060 self
.out_z
.e
.eq(in_z
.e
+ ediff_n126
),
1066 class FPNorm1ModMulti
:
1068 def __init__(self
, width
, single_cycle
=True):
1070 self
.in_select
= Signal(reset_less
=True)
1071 self
.out_norm
= Signal(reset_less
=True)
1072 self
.in_z
= FPNumBase(width
, False)
1073 self
.in_of
= Overflow()
1074 self
.temp_z
= FPNumBase(width
, False)
1075 self
.temp_of
= Overflow()
1076 self
.out_z
= FPNumBase(width
, False)
1077 self
.out_of
= Overflow()
1079 def elaborate(self
, platform
):
1082 m
.submodules
.norm1_out_z
= self
.out_z
1083 m
.submodules
.norm1_out_overflow
= self
.out_of
1084 m
.submodules
.norm1_temp_z
= self
.temp_z
1085 m
.submodules
.norm1_temp_of
= self
.temp_of
1086 m
.submodules
.norm1_in_z
= self
.in_z
1087 m
.submodules
.norm1_in_overflow
= self
.in_of
1089 in_z
= FPNumBase(self
.width
, False)
1091 m
.submodules
.norm1_insel_z
= in_z
1092 m
.submodules
.norm1_insel_overflow
= in_of
1094 # select which of temp or in z/of to use
1095 with m
.If(self
.in_select
):
1096 m
.d
.comb
+= in_z
.eq(self
.in_z
)
1097 m
.d
.comb
+= in_of
.eq(self
.in_of
)
1099 m
.d
.comb
+= in_z
.eq(self
.temp_z
)
1100 m
.d
.comb
+= in_of
.eq(self
.temp_of
)
1101 # initialise out from in (overridden below)
1102 m
.d
.comb
+= self
.out_z
.eq(in_z
)
1103 m
.d
.comb
+= self
.out_of
.eq(in_of
)
1104 # normalisation increase/decrease conditions
1105 decrease
= Signal(reset_less
=True)
1106 increase
= Signal(reset_less
=True)
1107 m
.d
.comb
+= decrease
.eq(in_z
.m_msbzero
& in_z
.exp_gt_n126
)
1108 m
.d
.comb
+= increase
.eq(in_z
.exp_lt_n126
)
1109 m
.d
.comb
+= self
.out_norm
.eq(decrease | increase
) # loop-end
1111 with m
.If(decrease
):
1113 self
.out_z
.e
.eq(in_z
.e
- 1), # DECREASE exponent
1114 self
.out_z
.m
.eq(in_z
.m
<< 1), # shift mantissa UP
1115 self
.out_z
.m
[0].eq(in_of
.guard
), # steal guard (was tot[2])
1116 self
.out_of
.guard
.eq(in_of
.round_bit
), # round (was tot[1])
1117 self
.out_of
.round_bit
.eq(0), # reset round bit
1118 self
.out_of
.m0
.eq(in_of
.guard
),
1121 with m
.Elif(increase
):
1123 self
.out_z
.e
.eq(in_z
.e
+ 1), # INCREASE exponent
1124 self
.out_z
.m
.eq(in_z
.m
>> 1), # shift mantissa DOWN
1125 self
.out_of
.guard
.eq(in_z
.m
[0]),
1126 self
.out_of
.m0
.eq(in_z
.m
[1]),
1127 self
.out_of
.round_bit
.eq(in_of
.guard
),
1128 self
.out_of
.sticky
.eq(in_of
.sticky | in_of
.round_bit
)
1134 class FPNorm1Single(FPState
, FPID
):
1136 def __init__(self
, width
, id_wid
, single_cycle
=True):
1137 FPID
.__init
__(self
, id_wid
)
1138 FPState
.__init
__(self
, "normalise_1")
1139 self
.mod
= FPNorm1ModSingle(width
)
1140 self
.out_norm
= Signal(reset_less
=True)
1141 self
.out_z
= FPNumBase(width
)
1142 self
.out_roundz
= Signal(reset_less
=True)
1144 def setup(self
, m
, in_z
, in_of
, in_mid
):
1145 """ links module to inputs and outputs
1147 self
.mod
.setup(m
, in_z
, in_of
, self
.out_z
)
1149 if self
.in_mid
is not None:
1150 m
.d
.comb
+= self
.in_mid
.eq(in_mid
)
1152 def action(self
, m
):
1154 m
.d
.sync
+= self
.out_roundz
.eq(self
.mod
.out_of
.roundz
)
1158 class FPNorm1Multi(FPState
, FPID
):
1160 def __init__(self
, width
, id_wid
):
1161 FPID
.__init
__(self
, id_wid
)
1162 FPState
.__init
__(self
, "normalise_1")
1163 self
.mod
= FPNorm1ModMulti(width
)
1164 self
.stb
= Signal(reset_less
=True)
1165 self
.ack
= Signal(reset
=0, reset_less
=True)
1166 self
.out_norm
= Signal(reset_less
=True)
1167 self
.in_accept
= Signal(reset_less
=True)
1168 self
.temp_z
= FPNumBase(width
)
1169 self
.temp_of
= Overflow()
1170 self
.out_z
= FPNumBase(width
)
1171 self
.out_roundz
= Signal(reset_less
=True)
1173 def setup(self
, m
, in_z
, in_of
, norm_stb
, in_mid
):
1174 """ links module to inputs and outputs
1176 self
.mod
.setup(m
, in_z
, in_of
, norm_stb
,
1177 self
.in_accept
, self
.temp_z
, self
.temp_of
,
1178 self
.out_z
, self
.out_norm
)
1180 m
.d
.comb
+= self
.stb
.eq(norm_stb
)
1181 m
.d
.sync
+= self
.ack
.eq(0) # sets to zero when not in normalise_1 state
1183 if self
.in_mid
is not None:
1184 m
.d
.comb
+= self
.in_mid
.eq(in_mid
)
1186 def action(self
, m
):
1188 m
.d
.comb
+= self
.in_accept
.eq((~self
.ack
) & (self
.stb
))
1189 m
.d
.sync
+= self
.temp_of
.eq(self
.mod
.out_of
)
1190 m
.d
.sync
+= self
.temp_z
.eq(self
.out_z
)
1191 with m
.If(self
.out_norm
):
1192 with m
.If(self
.in_accept
):
1197 m
.d
.sync
+= self
.ack
.eq(0)
1199 # normalisation not required (or done).
1201 m
.d
.sync
+= self
.ack
.eq(1)
1202 m
.d
.sync
+= self
.out_roundz
.eq(self
.mod
.out_of
.roundz
)
1205 class FPNormToPack(FPState
, FPID
):
1207 def __init__(self
, width
, id_wid
):
1208 FPID
.__init
__(self
, id_wid
)
1209 FPState
.__init
__(self
, "normalise_1")
1212 def setup(self
, m
, in_z
, in_of
, in_mid
):
1213 """ links module to inputs and outputs
1216 # Normalisation (chained to input in_z+in_of)
1217 nmod
= FPNorm1ModSingle(self
.width
)
1218 n_out_z
= FPNumBase(self
.width
)
1219 n_out_roundz
= Signal(reset_less
=True)
1220 nmod
.setup(m
, in_z
, in_of
, n_out_z
)
1222 # Rounding (chained to normalisation)
1223 rmod
= FPRoundMod(self
.width
)
1224 r_out_z
= FPNumBase(self
.width
)
1225 rmod
.setup(m
, n_out_z
, n_out_roundz
)
1226 m
.d
.comb
+= n_out_roundz
.eq(nmod
.out_of
.roundz
)
1227 m
.d
.comb
+= r_out_z
.eq(rmod
.out_z
)
1229 # Corrections (chained to rounding)
1230 cmod
= FPCorrectionsMod(self
.width
)
1231 c_out_z
= FPNumBase(self
.width
)
1232 cmod
.setup(m
, r_out_z
)
1233 m
.d
.comb
+= c_out_z
.eq(cmod
.out_z
)
1235 # Pack (chained to corrections)
1236 self
.pmod
= FPPackMod(self
.width
)
1237 self
.out_z
= FPNumBase(self
.width
)
1238 self
.pmod
.setup(m
, c_out_z
)
1241 if self
.in_mid
is not None:
1242 m
.d
.comb
+= self
.in_mid
.eq(in_mid
)
1244 def action(self
, m
):
1245 self
.idsync(m
) # copies incoming ID to outgoing
1246 m
.d
.sync
+= self
.out_z
.v
.eq(self
.pmod
.out_z
.v
) # outputs packed result
1247 m
.next
= "pack_put_z"
1252 def __init__(self
, width
):
1253 self
.in_roundz
= Signal(reset_less
=True)
1254 self
.in_z
= FPNumBase(width
, False)
1255 self
.out_z
= FPNumBase(width
, False)
1257 def setup(self
, m
, in_z
, roundz
):
1258 m
.submodules
.roundz
= self
1260 m
.d
.comb
+= self
.in_z
.eq(in_z
)
1261 m
.d
.comb
+= self
.in_roundz
.eq(roundz
)
1263 def elaborate(self
, platform
):
1265 m
.d
.comb
+= self
.out_z
.eq(self
.in_z
)
1266 with m
.If(self
.in_roundz
):
1267 m
.d
.comb
+= self
.out_z
.m
.eq(self
.in_z
.m
+ 1) # mantissa rounds up
1268 with m
.If(self
.in_z
.m
== self
.in_z
.m1s
): # all 1s
1269 m
.d
.comb
+= self
.out_z
.e
.eq(self
.in_z
.e
+ 1) # exponent up
1273 class FPRound(FPState
, FPID
):
1275 def __init__(self
, width
, id_wid
):
1276 FPState
.__init
__(self
, "round")
1277 FPID
.__init
__(self
, id_wid
)
1278 self
.mod
= FPRoundMod(width
)
1279 self
.out_z
= FPNumBase(width
)
1281 def setup(self
, m
, in_z
, roundz
, in_mid
):
1282 """ links module to inputs and outputs
1284 self
.mod
.setup(m
, in_z
, roundz
)
1286 if self
.in_mid
is not None:
1287 m
.d
.comb
+= self
.in_mid
.eq(in_mid
)
1289 def action(self
, m
):
1291 m
.d
.sync
+= self
.out_z
.eq(self
.mod
.out_z
)
1292 m
.next
= "corrections"
1295 class FPCorrectionsMod
:
1297 def __init__(self
, width
):
1298 self
.in_z
= FPNumOut(width
, False)
1299 self
.out_z
= FPNumOut(width
, False)
1301 def setup(self
, m
, in_z
):
1302 """ links module to inputs and outputs
1304 m
.submodules
.corrections
= self
1305 m
.d
.comb
+= self
.in_z
.eq(in_z
)
1307 def elaborate(self
, platform
):
1309 m
.submodules
.corr_in_z
= self
.in_z
1310 m
.submodules
.corr_out_z
= self
.out_z
1311 m
.d
.comb
+= self
.out_z
.eq(self
.in_z
)
1312 with m
.If(self
.in_z
.is_denormalised
):
1313 m
.d
.comb
+= self
.out_z
.e
.eq(self
.in_z
.N127
)
1317 class FPCorrections(FPState
, FPID
):
1319 def __init__(self
, width
, id_wid
):
1320 FPState
.__init
__(self
, "corrections")
1321 FPID
.__init
__(self
, id_wid
)
1322 self
.mod
= FPCorrectionsMod(width
)
1323 self
.out_z
= FPNumBase(width
)
1325 def setup(self
, m
, in_z
, in_mid
):
1326 """ links module to inputs and outputs
1328 self
.mod
.setup(m
, in_z
)
1329 if self
.in_mid
is not None:
1330 m
.d
.comb
+= self
.in_mid
.eq(in_mid
)
1332 def action(self
, m
):
1334 m
.d
.sync
+= self
.out_z
.eq(self
.mod
.out_z
)
1340 def __init__(self
, width
):
1341 self
.in_z
= FPNumOut(width
, False)
1342 self
.out_z
= FPNumOut(width
, False)
1344 def setup(self
, m
, in_z
):
1345 """ links module to inputs and outputs
1347 m
.submodules
.pack
= self
1348 m
.d
.comb
+= self
.in_z
.eq(in_z
)
1350 def elaborate(self
, platform
):
1352 m
.submodules
.pack_in_z
= self
.in_z
1353 with m
.If(self
.in_z
.is_overflowed
):
1354 m
.d
.comb
+= self
.out_z
.inf(self
.in_z
.s
)
1356 m
.d
.comb
+= self
.out_z
.create(self
.in_z
.s
, self
.in_z
.e
, self
.in_z
.m
)
1360 class FPPack(FPState
, FPID
):
1362 def __init__(self
, width
, id_wid
):
1363 FPState
.__init
__(self
, "pack")
1364 FPID
.__init
__(self
, id_wid
)
1365 self
.mod
= FPPackMod(width
)
1366 self
.out_z
= FPNumOut(width
, False)
1368 def setup(self
, m
, in_z
, in_mid
):
1369 """ links module to inputs and outputs
1371 self
.mod
.setup(m
, in_z
)
1372 if self
.in_mid
is not None:
1373 m
.d
.comb
+= self
.in_mid
.eq(in_mid
)
1375 def action(self
, m
):
1377 m
.d
.sync
+= self
.out_z
.v
.eq(self
.mod
.out_z
.v
)
1378 m
.next
= "pack_put_z"
1381 class FPPutZ(FPState
):
1383 def __init__(self
, state
, in_z
, out_z
, in_mid
, out_mid
, to_state
=None):
1384 FPState
.__init
__(self
, state
)
1385 if to_state
is None:
1386 to_state
= "get_ops"
1387 self
.to_state
= to_state
1390 self
.in_mid
= in_mid
1391 self
.out_mid
= out_mid
1393 def action(self
, m
):
1394 if self
.in_mid
is not None:
1395 m
.d
.sync
+= self
.out_mid
.eq(self
.in_mid
)
1397 self
.out_z
.v
.eq(self
.in_z
.v
)
1399 with m
.If(self
.out_z
.stb
& self
.out_z
.ack
):
1400 m
.d
.sync
+= self
.out_z
.stb
.eq(0)
1401 m
.next
= self
.to_state
1403 m
.d
.sync
+= self
.out_z
.stb
.eq(1)
1406 class FPPutZIdx(FPState
):
1408 def __init__(self
, state
, in_z
, out_zs
, in_mid
, to_state
=None):
1409 FPState
.__init
__(self
, state
)
1410 if to_state
is None:
1411 to_state
= "get_ops"
1412 self
.to_state
= to_state
1414 self
.out_zs
= out_zs
1415 self
.in_mid
= in_mid
1417 def action(self
, m
):
1418 outz_stb
= Signal(reset_less
=True)
1419 outz_ack
= Signal(reset_less
=True)
1420 m
.d
.comb
+= [outz_stb
.eq(self
.out_zs
[self
.in_mid
].stb
),
1421 outz_ack
.eq(self
.out_zs
[self
.in_mid
].ack
),
1424 self
.out_zs
[self
.in_mid
].v
.eq(self
.in_z
.v
)
1426 with m
.If(outz_stb
& outz_ack
):
1427 m
.d
.sync
+= self
.out_zs
[self
.in_mid
].stb
.eq(0)
1428 m
.next
= self
.to_state
1430 m
.d
.sync
+= self
.out_zs
[self
.in_mid
].stb
.eq(1)
1433 class FPADDBaseMod(FPID
):
1435 def __init__(self
, width
, id_wid
=None, single_cycle
=False, compact
=True):
1438 * width: bit-width of IEEE754. supported: 16, 32, 64
1439 * id_wid: an identifier that is sync-connected to the input
1440 * single_cycle: True indicates each stage to complete in 1 clock
1441 * compact: True indicates a reduced number of stages
1443 FPID
.__init
__(self
, id_wid
)
1445 self
.single_cycle
= single_cycle
1446 self
.compact
= compact
1448 self
.in_t
= Trigger()
1449 self
.in_a
= Signal(width
)
1450 self
.in_b
= Signal(width
)
1451 self
.out_z
= FPOp(width
)
1455 def add_state(self
, state
):
1456 self
.states
.append(state
)
1459 def get_fragment(self
, platform
=None):
1460 """ creates the HDL code-fragment for FPAdd
1463 m
.submodules
.out_z
= self
.out_z
1464 m
.submodules
.in_t
= self
.in_t
1466 self
.get_compact_fragment(m
, platform
)
1468 self
.get_longer_fragment(m
, platform
)
1470 with m
.FSM() as fsm
:
1472 for state
in self
.states
:
1473 with m
.State(state
.state_from
):
1478 def get_longer_fragment(self
, m
, platform
=None):
1480 get
= self
.add_state(FPGet2Op("get_ops", "special_cases",
1481 self
.in_a
, self
.in_b
, self
.width
))
1482 get
.setup(m
, self
.in_a
, self
.in_b
, self
.in_t
.stb
, self
.in_t
.ack
)
1486 sc
= self
.add_state(FPAddSpecialCases(self
.width
, self
.id_wid
))
1487 sc
.setup(m
, a
, b
, self
.in_mid
)
1489 dn
= self
.add_state(FPAddDeNorm(self
.width
, self
.id_wid
))
1490 dn
.setup(m
, a
, b
, sc
.in_mid
)
1492 if self
.single_cycle
:
1493 alm
= self
.add_state(FPAddAlignSingle(self
.width
, self
.id_wid
))
1494 alm
.setup(m
, dn
.out_a
, dn
.out_b
, dn
.in_mid
)
1496 alm
= self
.add_state(FPAddAlignMulti(self
.width
, self
.id_wid
))
1497 alm
.setup(m
, dn
.out_a
, dn
.out_b
, dn
.in_mid
)
1499 add0
= self
.add_state(FPAddStage0(self
.width
, self
.id_wid
))
1500 add0
.setup(m
, alm
.out_a
, alm
.out_b
, alm
.in_mid
)
1502 add1
= self
.add_state(FPAddStage1(self
.width
, self
.id_wid
))
1503 add1
.setup(m
, add0
.out_tot
, add0
.out_z
, add0
.in_mid
)
1505 if self
.single_cycle
:
1506 n1
= self
.add_state(FPNorm1Single(self
.width
, self
.id_wid
))
1507 n1
.setup(m
, add1
.out_z
, add1
.out_of
, add0
.in_mid
)
1509 n1
= self
.add_state(FPNorm1Multi(self
.width
, self
.id_wid
))
1510 n1
.setup(m
, add1
.out_z
, add1
.out_of
, add1
.norm_stb
, add0
.in_mid
)
1512 rn
= self
.add_state(FPRound(self
.width
, self
.id_wid
))
1513 rn
.setup(m
, n1
.out_z
, n1
.out_roundz
, n1
.in_mid
)
1515 cor
= self
.add_state(FPCorrections(self
.width
, self
.id_wid
))
1516 cor
.setup(m
, rn
.out_z
, rn
.in_mid
)
1518 pa
= self
.add_state(FPPack(self
.width
, self
.id_wid
))
1519 pa
.setup(m
, cor
.out_z
, rn
.in_mid
)
1521 ppz
= self
.add_state(FPPutZ("pack_put_z", pa
.out_z
, self
.out_z
,
1522 pa
.in_mid
, self
.out_mid
))
1524 pz
= self
.add_state(FPPutZ("put_z", sc
.out_z
, self
.out_z
,
1525 pa
.in_mid
, self
.out_mid
))
1527 def get_compact_fragment(self
, m
, platform
=None):
1529 get
= self
.add_state(FPGet2Op("get_ops", "special_cases",
1530 self
.in_a
, self
.in_b
, self
.width
))
1531 get
.setup(m
, self
.in_a
, self
.in_b
, self
.in_t
.stb
, self
.in_t
.ack
)
1535 sc
= self
.add_state(FPAddSpecialCasesDeNorm(self
.width
, self
.id_wid
))
1536 sc
.setup(m
, a
, b
, self
.in_mid
)
1538 alm
= self
.add_state(FPAddAlignSingleAdd(self
.width
, self
.id_wid
))
1539 alm
.setup(m
, sc
.o
.a
, sc
.o
.b
, sc
.in_mid
)
1541 n1
= self
.add_state(FPNormToPack(self
.width
, self
.id_wid
))
1542 n1
.setup(m
, alm
.out_z
, alm
.out_of
, alm
.in_mid
)
1544 ppz
= self
.add_state(FPPutZ("pack_put_z", n1
.out_z
, self
.out_z
,
1545 n1
.in_mid
, self
.out_mid
))
1547 pz
= self
.add_state(FPPutZ("put_z", sc
.out_z
, self
.out_z
,
1548 sc
.in_mid
, self
.out_mid
))
1551 class FPADDBase(FPState
, FPID
):
1553 def __init__(self
, width
, id_wid
=None, single_cycle
=False):
1556 * width: bit-width of IEEE754. supported: 16, 32, 64
1557 * id_wid: an identifier that is sync-connected to the input
1558 * single_cycle: True indicates each stage to complete in 1 clock
1560 FPID
.__init
__(self
, id_wid
)
1561 FPState
.__init
__(self
, "fpadd")
1563 self
.single_cycle
= single_cycle
1564 self
.mod
= FPADDBaseMod(width
, id_wid
, single_cycle
)
1566 self
.in_t
= Trigger()
1567 self
.in_a
= Signal(width
)
1568 self
.in_b
= Signal(width
)
1569 #self.out_z = FPOp(width)
1571 self
.z_done
= Signal(reset_less
=True) # connects to out_z Strobe
1572 self
.in_accept
= Signal(reset_less
=True)
1573 self
.add_stb
= Signal(reset_less
=True)
1574 self
.add_ack
= Signal(reset
=0, reset_less
=True)
1576 def setup(self
, m
, a
, b
, add_stb
, in_mid
, out_z
, out_mid
):
1578 self
.out_mid
= out_mid
1579 m
.d
.comb
+= [self
.in_a
.eq(a
),
1581 self
.mod
.in_a
.eq(self
.in_a
),
1582 self
.mod
.in_b
.eq(self
.in_b
),
1583 self
.in_mid
.eq(in_mid
),
1584 self
.mod
.in_mid
.eq(self
.in_mid
),
1585 self
.z_done
.eq(self
.mod
.out_z
.trigger
),
1586 #self.add_stb.eq(add_stb),
1587 self
.mod
.in_t
.stb
.eq(self
.in_t
.stb
),
1588 self
.in_t
.ack
.eq(self
.mod
.in_t
.ack
),
1589 self
.out_mid
.eq(self
.mod
.out_mid
),
1590 self
.out_z
.v
.eq(self
.mod
.out_z
.v
),
1591 self
.out_z
.stb
.eq(self
.mod
.out_z
.stb
),
1592 self
.mod
.out_z
.ack
.eq(self
.out_z
.ack
),
1595 m
.d
.sync
+= self
.add_stb
.eq(add_stb
)
1596 m
.d
.sync
+= self
.add_ack
.eq(0) # sets to zero when not in active state
1597 m
.d
.sync
+= self
.out_z
.ack
.eq(0) # likewise
1598 #m.d.sync += self.in_t.stb.eq(0)
1600 m
.submodules
.fpadd
= self
.mod
1602 def action(self
, m
):
1604 # in_accept is set on incoming strobe HIGH and ack LOW.
1605 m
.d
.comb
+= self
.in_accept
.eq((~self
.add_ack
) & (self
.add_stb
))
1607 #with m.If(self.in_t.ack):
1608 # m.d.sync += self.in_t.stb.eq(0)
1609 with m
.If(~self
.z_done
):
1610 # not done: test for accepting an incoming operand pair
1611 with m
.If(self
.in_accept
):
1613 self
.add_ack
.eq(1), # acknowledge receipt...
1614 self
.in_t
.stb
.eq(1), # initiate add
1617 m
.d
.sync
+= [self
.add_ack
.eq(0),
1618 self
.in_t
.stb
.eq(0),
1619 self
.out_z
.ack
.eq(1),
1622 # done: acknowledge, and write out id and value
1623 m
.d
.sync
+= [self
.add_ack
.eq(1),
1630 if self
.in_mid
is not None:
1631 m
.d
.sync
+= self
.out_mid
.eq(self
.mod
.out_mid
)
1634 self
.out_z
.v
.eq(self
.mod
.out_z
.v
)
1636 # move to output state on detecting z ack
1637 with m
.If(self
.out_z
.trigger
):
1638 m
.d
.sync
+= self
.out_z
.stb
.eq(0)
1641 m
.d
.sync
+= self
.out_z
.stb
.eq(1)
1644 def __init__(self
, width
, id_wid
):
1646 self
.id_wid
= id_wid
1648 for i
in range(rs_sz
):
1650 out_z
.name
= "out_z_%d" % i
1652 self
.res
= Array(res
)
1653 self
.in_z
= FPOp(width
)
1654 self
.in_mid
= Signal(self
.id_wid
, reset_less
=True)
1656 def setup(self
, m
, in_z
, in_mid
):
1657 m
.d
.comb
+= [self
.in_z
.eq(in_z
),
1658 self
.in_mid
.eq(in_mid
)]
1660 def get_fragment(self
, platform
=None):
1661 """ creates the HDL code-fragment for FPAdd
1664 m
.submodules
.res_in_z
= self
.in_z
1665 m
.submodules
+= self
.res
1677 """ FPADD: stages as follows:
1683 FPAddBase---> FPAddBaseMod
1685 PutZ GetOps->Specials->Align->Add1/2->Norm->Round/Pack->PutZ
1687 FPAddBase is tricky: it is both a stage and *has* stages.
1688 Connection to FPAddBaseMod therefore requires an in stb/ack
1689 and an out stb/ack. Just as with Add1-Norm1 interaction, FPGetOp
1690 needs to be the thing that raises the incoming stb.
1693 def __init__(self
, width
, id_wid
=None, single_cycle
=False, rs_sz
=2):
1696 * width: bit-width of IEEE754. supported: 16, 32, 64
1697 * id_wid: an identifier that is sync-connected to the input
1698 * single_cycle: True indicates each stage to complete in 1 clock
1701 self
.id_wid
= id_wid
1702 self
.single_cycle
= single_cycle
1704 #self.out_z = FPOp(width)
1705 self
.ids
= FPID(id_wid
)
1708 for i
in range(rs_sz
):
1711 in_a
.name
= "in_a_%d" % i
1712 in_b
.name
= "in_b_%d" % i
1713 rs
.append((in_a
, in_b
))
1717 for i
in range(rs_sz
):
1719 out_z
.name
= "out_z_%d" % i
1721 self
.res
= Array(res
)
1725 def add_state(self
, state
):
1726 self
.states
.append(state
)
1729 def get_fragment(self
, platform
=None):
1730 """ creates the HDL code-fragment for FPAdd
1733 m
.submodules
+= self
.rs
1735 in_a
= self
.rs
[0][0]
1736 in_b
= self
.rs
[0][1]
1738 out_z
= FPOp(self
.width
)
1739 out_mid
= Signal(self
.id_wid
, reset_less
=True)
1740 m
.submodules
.out_z
= out_z
1742 geta
= self
.add_state(FPGetOp("get_a", "get_b",
1747 getb
= self
.add_state(FPGetOp("get_b", "fpadd",
1752 ab
= FPADDBase(self
.width
, self
.id_wid
, self
.single_cycle
)
1753 ab
= self
.add_state(ab
)
1754 ab
.setup(m
, a
, b
, getb
.out_decode
, self
.ids
.in_mid
,
1757 pz
= self
.add_state(FPPutZIdx("put_z", ab
.out_z
, self
.res
,
1760 with m
.FSM() as fsm
:
1762 for state
in self
.states
:
1763 with m
.State(state
.state_from
):
1769 if __name__
== "__main__":
1771 alu
= FPADD(width
=32, id_wid
=5, single_cycle
=True)
1772 main(alu
, ports
=alu
.rs
[0][0].ports() + \
1773 alu
.rs
[0][1].ports() + \
1774 alu
.res
[0].ports() + \
1775 [alu
.ids
.in_mid
, alu
.ids
.out_mid
])
1777 alu
= FPADDBase(width
=32, id_wid
=5, single_cycle
=True)
1778 main(alu
, ports
=[alu
.in_a
, alu
.in_b
] + \
1779 alu
.in_t
.ports() + \
1780 alu
.out_z
.ports() + \
1781 [alu
.in_mid
, alu
.out_mid
])
1784 # works... but don't use, just do "python fname.py convert -t v"
1785 #print (verilog.convert(alu, ports=[
1786 # ports=alu.in_a.ports() + \
1787 # alu.in_b.ports() + \
1788 # alu.out_z.ports())