Initial import
[litex.git] / verilog / lm32 / jtag_tap_spartan6.v
1 /*
2 * Milkymist SoC
3 * Copyright (c) 2010 Michael Walle
4 * All rights reserved.
5 *
6 * Redistribution and use in source and binary forms, with or without
7 * modification, are permitted provided that the following conditions
8 * are met:
9 * 1. Redistributions of source code must retain the above copyright
10 * notice, this list of conditions and the following disclaimer.
11 * 2. Redistributions in binary form must reproduce the above copyright
12 * notice, this list of conditions and the following disclaimer in the
13 * documentation and/or other materials provided with the distribution.
14 * 3. The name of the author may not be used to endorse or promote products
15 * derived from this software without specific prior written permission.
16 *
17 * THIS SOFTWARE IS PROVIDED BY THE AUTHOR ``AS IS'' AND ANY EXPRESS OR
18 * IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES
19 * OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED.
20 * IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR ANY DIRECT, INDIRECT,
21 * INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT
22 * NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE,
23 * DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY
24 * THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
25 * (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF
26 * THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
27 */
28
29 module jtag_tap(
30 output tck,
31 output tdi,
32 input tdo,
33 output shift,
34 output update,
35 output reset
36 );
37
38 wire g_shift;
39 wire g_update;
40
41 assign shift = g_shift & sel;
42 assign update = g_update & sel;
43
44 BSCAN_SPARTAN6 #(
45 .JTAG_CHAIN(1)
46 ) bscan (
47 .CAPTURE(),
48 .DRCK(tck),
49 .RESET(reset),
50 .RUNTEST(),
51 .SEL(sel),
52 .SHIFT(g_shift),
53 .TCK(),
54 .TDI(tdi),
55 .TMS(),
56 .UPDATE(g_update),
57 .TDO(tdo)
58 );
59
60 endmodule