or1k: Use EXCEPTION_STACK_SIZE of 256bytes.
[litex.git] / README
diff --git a/README b/README
index 57be2dd394a17ded3dbeee4b211e7bb319ce773c..38f15ce6ded47fffe6d25ad709bf6432df07c4cc 100644 (file)
--- a/README
+++ b/README
@@ -1,68 +1,81 @@
-Migen (Milkymist Generator)
-  a Python toolbox for building complex digital hardware
-
-Despite being faster than schematics entry, hardware design with Verilog and
-VHDL remains tedious and inefficient for several reasons. The event-driven
-model introduces issues and manual coding that are unnecessary for synchronous
-circuits, which represent the lion's share of today's logic designs. Counter-
-intuitive arithmetic rules result in steeper learning curves and provide a
-fertile ground for subtle bugs in designs. Finally, support for procedural
-generation of logic (metaprogramming) through "generate" statements is very
-limited and restricts the ways code can be made generic, reused and organized.
-
-To address those issues, we have developed the Migen FHDL library that
-replaces the event-driven paradigm with the notions of combinatorial and
-synchronous statements, has arithmetic rules that make integers always behave
-like mathematical integers, and most importantly allows the design's logic to
-be constructed by a Python program. This last point enables hardware designers
-to take advantage of the richness of the Python language - object oriented
-programming, function parameters, generators, operator overloading, libraries,
-etc. - to build well organized, reusable and elegant designs.
-
-Other Migen libraries are built on FHDL and provide various tools such as a
-system-on-chip interconnect infrastructure, a dataflow programming system, a
-more traditional high-level synthesizer that compiles Python routines into
-state machines with datapaths, and a simulator that allows test benches to be
-written in Python.
-
-See the doc/ folder for more technical information.
-
-Quick intro:
-
-from migen.fhdl.std import *
-from mibuild.platforms import m1
-plat = m1.Platform()
-led = plat.request("user_led")
-m = Module()
-counter = Signal(26)
-m.comb += led.eq(counter[25])
-m.sync += counter.eq(counter + 1)
-plat.build_cmdline(m)
-
-Code repository:
-https://github.com/m-labs/migen
-System-on-chip design based on Migen:
-https://github.com/m-labs/misoc
-
-Migen is designed for Python 3.3.
-
-Migen is released under the very permissive two-clause BSD license. Under the
-terms of this license, you are authorized to use Migen for closed-source
-proprietary designs.
-Even though we do not require you to do so, those things are awesome, so please
-do them if possible:
- * tell us that you are using Migen
- * put the Migen logo (doc/migen_logo.svg) on the page of a product using it,
-   with a link to milkymist.org
- * cite Migen in publications related to research it has helped
- * send us feedback and suggestions for improvements
- * send us bug reports when something goes wrong
- * send us the modifications and improvements you have done to Migen. The use
-   of "git format-patch" is recommended. If your submission is large and
-   complex and/or you are not sure how to proceed, feel free to discuss it on
-   the mailing list or IRC (#m-labs on Freenode) beforehand.
-
-See LICENSE file for full copyright and license info. You can contact us on the
-public mailing list devel [AT] lists.milkymist.org.
-
-  "Electricity! It's like magic!"
+                       __   _ __      _  __
+                      / /  (_) /____ | |/_/
+                     / /__/ / __/ -_)>  <
+                    /____/_/\__/\__/_/|_|
+                         Migen inside
+
+                Build your hardware, easily!
+             Copyright 2012-2016 Enjoy-Digital
+
+[> Intro
+--------
+LiteX is an alternative to Migen/MiSoC maintained and used by Enjoy-Digital
+to build our cores, integrate them in complete SoC and load/flash them to
+the hardware and experiment new features.
+
+The structure of LiteX is kept close to Migen/MiSoC to ease collaboration
+between projects and efforts are made to keep cores developed with LiteX
+compatible with Migen/MiSoC.
+
+[> License
+----------
+LiteX is Copyright (c) 2012-2015 Enjoy-Digital under BSD Lisense.
+Since it is based on Migen/MiSoC, please also refer to LICENSE file in gen/soc
+directory or git history to get correct copyrights.
+
+[> Sub-packages
+---------------
+gen:
+  Provides specific or experimentatl modules to generate HDL that are not integrated
+  in Migen.
+
+build:
+  Provides tools to build FPGA bitstreams (interface to vendor toolchains) and to
+  simulate HDL code or full SoCs.
+
+soc:
+  Provides definitions/modules to build cores (bus, bank, flow), cores and tools
+  to build a SoC from such cores.
+
+boards:
+  Provides platforms and targets for the supported boards.
+
+[> Quick start guide
+--------------------
+0. If cloned from Git without the --recursive option, get the submodules:
+  git submodule update --init
+
+1. Install Python 3.3+, Migen and FPGA vendor's development tools and JTAG tools.
+  Get Migen from: https://github.com/m-labs/migen
+
+2. Compile and install binutils. Take the latest version from GNU.
+  mkdir build && cd build
+  ../configure --target=lm32-elf
+  make
+  make install
+
+3. (Optional, only if you want to use a lm32 CPU in you SoC)
+  Compile and install GCC. Take gcc-core and gcc-g++ from GNU
+  (version 4.5 or >=4.9).
+  rm -rf libstdc++-v3
+  mkdir build && cd build
+  ../configure --target=lm32-elf --enable-languages="c,c++" --disable-libgcc \
+    --disable-libssp
+  make
+  make install
+
+4. Build the target of your board...:
+  Go to boards/targets and execute the target you want to build
+
+5. ... and/or install Verilator and test LiteX on your computer:
+  Download and install Verilator: http://www.veripool.org/
+  Install libevent-devel / json-c-devel packages
+  Go to boards/targets
+  ./sim.py
+
+6. Run a terminal program on the board's serial port at 115200 8-N-1.
+  You should get the BIOS prompt.
+
+[> Contact
+----------
+E-mail: florent [AT] enjoy-digital.fr
\ No newline at end of file