build/lattice/trellis: fix spimode typo.
[litex.git] / litex / build / lattice / trellis.py
index a9ae49be4368aa43973579bf2e16afb28e5a2e0b..df7559f2ec029940db9e0971c3744c7262a7ee11 100644 (file)
@@ -49,7 +49,10 @@ def _build_lpf(named_sc, named_pc, build_name):
 # Yosys/Nextpnr Helpers/Templates ------------------------------------------------------------------
 
 _yosys_template = [
+    "verilog_defaults -push",
+    "verilog_defaults -add -defer",
     "{read_files}",
+    "verilog_defaults -pop",
     "attrmap -tocase keep -imap keep=\"true\" keep=1 -imap keep=\"false\" keep=0 -remove keep=0",
     "synth_ecp5 -abc9 {nwl} -json {build_name}.json -top {build_name}",
 ]
@@ -114,11 +117,11 @@ nextpnr_ecp5_architectures = {
 _build_template = [
     "yosys -l {build_name}.rpt {build_name}.ys",
     "nextpnr-ecp5 --json {build_name}.json --lpf {build_name}.lpf --textcfg {build_name}.config  \
-    --{architecture} --package {package} --speed {speed_grade} {timefailarg} {ignoreloops}",
-    "ecppack {build_name}.config --svf {build_name}.svf --bit {build_name}.bit"
+    --{architecture} --package {package} --speed {speed_grade} {timefailarg} {ignoreloops} --seed {seed}",
+    "ecppack {build_name}.config --svf {build_name}.svf --bit {build_name}.bit --bootaddr {bootaddr} --spimode {spimode}"
 ]
 
-def _build_script(source, build_template, build_name, architecture, package, speed_grade, timingstrict, ignoreloops):
+def _build_script(source, build_template, build_name, architecture, package, speed_grade, timingstrict, ignoreloops, bootaddr, seed, spimode):
     if sys.platform in ("win32", "cygwin"):
         script_ext = ".bat"
         script_contents = "@echo off\nrem Autogenerated by LiteX / git: " + tools.get_litex_git_revision() + "\n\n"
@@ -137,7 +140,10 @@ def _build_script(source, build_template, build_name, architecture, package, spe
             speed_grade     = speed_grade,
             timefailarg     = "--timing-allow-fail" if not timingstrict else "",
             ignoreloops     = "--ignore-loops" if ignoreloops else "",
-            fail_stmt       = fail_stmt)
+            bootaddr        = bootaddr,
+            fail_stmt       = fail_stmt,
+            seed            = seed,
+            spimode         = spimode)
 
     script_file = "build_" + build_name + script_ext
     tools.write_to_file(script_file, script_contents, force_unix=False)
@@ -183,6 +189,9 @@ class LatticeTrellisToolchain:
         nowidelut      = False,
         timingstrict   = False,
         ignoreloops    = False,
+        bootaddr       = 0,
+        seed           = 1,
+        spimode        = "fast-read",
         **kwargs):
 
         # Create build directory
@@ -214,8 +223,7 @@ class LatticeTrellisToolchain:
 
         # Generate build script
         script = _build_script(False, self.build_template, build_name, architecture, package,
-            speed_grade, timingstrict, ignoreloops)
-
+                               speed_grade, timingstrict, ignoreloops, bootaddr, seed, spimode)
         # Run
         if run:
             _run_script(script)
@@ -241,10 +249,19 @@ def trellis_args(parser):
                         help="fail if timing not met, i.e., do NOT pass '--timing-allow-fail' to nextpnr")
     parser.add_argument("--nextpnr-ignoreloops", action="store_true",
                         help="ignore combinational loops in timing analysis, i.e. pass '--ignore-loops' to nextpnr")
+    parser.add_argument("--ecppack-bootaddr", default=0,
+                        help="Set boot address for next image, i.e. pass '--bootaddr xxx' to ecppack")
+    parser.add_argument("--ecppack-spimode", default="fast-read",
+                        help="Set slave SPI programming mode")
+    parser.add_argument("--nextpnr-seed", default=1, type=int,
+                        help="seed to pass to nextpnr")
 
 def trellis_argdict(args):
     return {
         "nowidelut":    args.yosys_nowidelut,
         "timingstrict": args.nextpnr_timingstrict,
         "ignoreloops":  args.nextpnr_ignoreloops,
+        "bootaddr":     args.ecppack_bootaddr,
+        "spimode":      args.ecppack_spimode,
+        "seed":         args.nextpnr_seed,
     }