fix naming
[pinmux.git] / src / bsv / bsv_lib / slow_peripherals_template.bsv
index 871d3aeac642af044fa074781dca8f829ded4db1..7a175fdee4dcfbdbeaa1b0a92a626f7d1506e56e 100644 (file)
@@ -33,6 +33,9 @@ package slow_peripherals;
        `ifdef AXIEXP
                import axiexpansion     ::*;
        `endif
+       `ifdef QSPI 
+               import qspi                              :: *; 
+       `endif
        /*=====================================*/
        
        /*===== interface declaration =====*/
@@ -44,13 +47,13 @@ package slow_peripherals;
                `endif
        endinterface
        interface Ifc_slow_peripherals;
-               interface AXI4_Slave_IFC#(`PADDR,`Reg_width,`USERSPACE) axi_slave;
+               interface AXI4_Slave_IFC#(`ADDR,`DATA,`USERSPACE) axi_slave;
                interface SP_ios slow_ios;
     method Action external_int(Bit#(32) in);
                `ifdef CLINT
                        method Bit#(1) msip_int;
                        method Bit#(1) mtip_int;
-                       method Bit#(`Reg_width) mtime;
+                       method Bit#(`DATA) mtime;
                `endif
                `ifdef PLIC method ActionValue#(Tuple2#(Bool,Bool)) intrpt_note; `endif
     interface IOCellSide iocell_side; // mandatory interface
@@ -58,7 +61,7 @@ package slow_peripherals;
        /*================================*/
 
        function Tuple2#(Bool, Bit#(TLog#(Num_Slow_Slaves)))
-                     fn_address_mapping (Bit#(`PADDR) addr);
+                     fn_address_mapping (Bit#(`ADDR) addr);
                `ifdef CLINT
                        if(addr>=`ClintBase && addr<=`ClintEnd)
                                return tuple2(True,fromInteger(valueOf(CLINT_slave_num)));
@@ -103,7 +106,7 @@ package slow_peripherals;
     Wire#(Bit#(32)) wr_interrupt <- mkWire();
                /*=======================================================*/
 
-       AXI4_Lite_Fabric_IFC #(1, Num_Slow_Slaves, `PADDR, `Reg_width,`USERSPACE)
+       AXI4_Lite_Fabric_IFC #(1, Num_Slow_Slaves, `ADDR, `DATA,`USERSPACE)
             slow_fabric <- mkAXI4_Lite_Fabric(fn_address_mapping);
                Ifc_AXI4Lite_AXI4_Bridge
             bridge<-mkAXI4Lite_AXI4_Bridge(fast_clock,fast_reset);
@@ -130,39 +133,6 @@ package slow_peripherals;
     /*========== pinmux connections ============*/
 {7}
 {8}
-    rule connect_i2c0_scl;
-      pinmux.peripheral_side.twi_scl_out(i2c0.out.scl_out);
-      pinmux.peripheral_side.twi_scl_outen(pack(i2c0.out.scl_out_en));
-    endrule
-    rule connect_i2c0_scl_in;
-      i2c0.out.scl_in(pinmux.peripheral_side.twi_scl_in);
-    endrule
-    rule connect_i2c0_sda;
-      pinmux.peripheral_side.twi_sda_out(i2c0.out.sda_out);
-      pinmux.peripheral_side.twi_sda_outen(pack(i2c0.out.sda_out_en));
-    endrule
-    rule connect_i2c0_sda_in;
-      i2c0.out.sda_in(pinmux.peripheral_side.twi_sda_in);
-    endrule
-    rule connect_uart1tx;
-      pinmux.peripheral_side.uart_tx(uart1.coe_rs232.sout);
-    endrule
-    rule connect_uart1rx;
-      uart1.coe_rs232.sin(pinmux.peripheral_side.uart_rx);
-    endrule
-    rule connect_gpioa;
-      pinmux.peripheral_side.gpioa_a0_out(gpioa.func.gpio_out[0]);
-      pinmux.peripheral_side.gpioa_a0_outen(gpioa.func.gpio_out_en[0]);
-      pinmux.peripheral_side.gpioa_a1_out(gpioa.func.gpio_out[1]);
-      pinmux.peripheral_side.gpioa_a1_outen(gpioa.func.gpio_out_en[1]);
-      pinmux.peripheral_side.gpioa_a2_out(gpioa.func.gpio_out[2]);
-      pinmux.peripheral_side.gpioa_a2_outen(gpioa.func.gpio_out_en[2]);
-               Vector#(3,Bit#(1)) temp;
-               temp[0]=pinmux.peripheral_side.gpioa_a0_in;
-               temp[1]=pinmux.peripheral_side.gpioa_a1_in;
-               temp[2]=pinmux.peripheral_side.gpioa_a2_in;
-      gpioa.func.gpio_in(temp);
-    endrule
     for(Integer i=0;i<32;i=i+ 1)begin
       rule connect_int_to_plic(wr_interrupt[i]==1);
                                ff_gateway_queue[i].enq(1);
@@ -366,6 +336,7 @@ package slow_peripherals;
                `ifdef QSPI1 method     qspi1_isint=qspi1.interrupts[5]; `endif
                `ifdef UART0 method uart0_intr=uart0.irq; `endif
                interface SP_ios slow_ios;
+/* template for dedicated peripherals
                        `ifdef UART0
                                interface uart0_coe=uart0.coe_rs232;
                        `endif
@@ -391,10 +362,11 @@ package slow_peripherals;
       `ifdef PWM_AXI4Lite
         interface pwm_o = pwm_bus.pwm_io;
       `endif
+*/
                endinterface
     // NEEL EDIT
     interface iocell_side=pinmux.iocell_side;
-    interface pad_configa= gpioa.pad_config;
+    interface pad_config0= gpioa.pad_config;
     method Action external_int(Bit#(32) in);
       wr_interrupt<= in;
     endmethod