re-add uart into m_class
[pinmux.git] / src / spec / interfaces.py
index e2cddba6b3e606d9279c1b1c553693591e8347f0..684173edb6a5a7548a1189dca9359a8c63e5ff5b 100644 (file)
@@ -97,7 +97,10 @@ class Pinouts(object):
             setattr(self, name, PinGen(self, fname, pinfn, self.bankspec))
 
     def setganged(self, fname, grp):
-        self.ganged[fname] = map(lambda x: x[:-1], grp)
+        grp = map(lambda x: x[:-1], grp)
+        if fname not in self.ganged:
+            self.ganged[fname] = []
+        self.ganged[fname] += grp
 
     def __contains__(self, k):
         return k in self.pins