Use Elif for third m.next assignment.
authorStaf Verhaegen <staf@stafverhaegen.be>
Fri, 6 Dec 2019 19:06:06 +0000 (20:06 +0100)
committerStaf Verhaegen <staf@stafverhaegen.be>
Fri, 6 Dec 2019 19:15:45 +0000 (20:15 +0100)
commit160eebec63f9c05db1fc3624cdd43d5948bb3519
treee3be846a07db57c9406aa757a11da251be549679
parent90293ab2a2de033d9f96ee1703859080c48c5265
Use Elif for third m.next assignment.

This way m.next assignments are done in one If/Elif statements for
the "IDLE" state and not in two different If statements.
c4m/nmigen/jtag/tap.py