dev-env-setup.git
2 years agofeat(tasyagle-install): Added flex removal, running example (which fails)
Andrey Miroshnikov [Wed, 12 Oct 2022 15:46:40 +0000 (16:46 +0100)]
feat(tasyagle-install): Added flex removal, running example (which fails)

2 years agoadd libgtest-dev to install-hdl-apt-reqs
Luke Kenneth Casson Leighton [Sat, 17 Sep 2022 15:48:05 +0000 (16:48 +0100)]
add libgtest-dev to install-hdl-apt-reqs
https://bugs.libre-soc.org/show_bug.cgi?id=228

3 years agouse specific version of alliance-check-toolkit
Luke Kenneth Casson Leighton [Mon, 15 Aug 2022 15:50:56 +0000 (16:50 +0100)]
use specific version of alliance-check-toolkit

3 years agowhoops add wget to coriolis-install
Luke Kenneth Casson Leighton [Mon, 15 Aug 2022 15:46:30 +0000 (16:46 +0100)]
whoops add wget to coriolis-install

3 years agoset buster-backports pin-priority to low so as to stop it being
Luke Kenneth Casson Leighton [Mon, 15 Aug 2022 14:53:47 +0000 (15:53 +0100)]
set buster-backports pin-priority to low so as to stop it being
used by default

3 years agocheck if schroot and debootstrap installed already
Luke Kenneth Casson Leighton [Mon, 15 Aug 2022 12:56:36 +0000 (13:56 +0100)]
check if schroot and debootstrap installed already

3 years agotasyagle-install: workaround to reload .bashrc works, can now compile docs in one go
Andrey Miroshnikov [Tue, 26 Jul 2022 00:53:45 +0000 (01:53 +0100)]
tasyagle-install: workaround to reload .bashrc works, can now compile docs in one go

3 years agotasyagle-install: Added check with .bashrc, commented out doc generation
Andrey Miroshnikov [Mon, 25 Jul 2022 15:41:58 +0000 (16:41 +0100)]
tasyagle-install: Added check with .bashrc, commented out doc generation

3 years agotasyagle-install: Change file ownership to sudo_user
Andrey Miroshnikov [Mon, 25 Jul 2022 15:15:19 +0000 (16:15 +0100)]
tasyagle-install: Change file ownership to sudo_user

3 years agotasyagle-install: Add jdk package, make docs
Andrey Miroshnikov [Mon, 25 Jul 2022 15:13:52 +0000 (16:13 +0100)]
tasyagle-install: Add jdk package, make docs

3 years agoupdate to released version of cvc5 that includes powerpc64le fixes
Jacob Lifshay [Fri, 22 Jul 2022 22:13:27 +0000 (15:13 -0700)]
update to released version of cvc5 that includes powerpc64le fixes

https://bugs.libre-soc.org/show_bug.cgi?id=891

3 years agotasyagle-install: was overwriting .bashrc...
Andrey Miroshnikov [Thu, 21 Jul 2022 22:15:47 +0000 (23:15 +0100)]
tasyagle-install: was overwriting .bashrc...

3 years agotasyagle-install: Added login source for avt_env.sh
Andrey Miroshnikov [Thu, 21 Jul 2022 18:39:10 +0000 (19:39 +0100)]
tasyagle-install: Added login source for avt_env.sh

3 years agotasyagle-install: Install to /usr/local
Andrey Miroshnikov [Mon, 18 Jul 2022 11:46:08 +0000 (12:46 +0100)]
tasyagle-install: Install to /usr/local

3 years agomeson dependency moved to linstaoo-mesa-deps
Luke Kenneth Casson Leighton [Sun, 17 Jul 2022 17:56:55 +0000 (17:56 +0000)]
meson dependency moved to linstaoo-mesa-deps

3 years agoinstalling mesa deps needs meson drm mesa first
Luke Kenneth Casson Leighton [Sun, 17 Jul 2022 17:54:31 +0000 (17:54 +0000)]
installing mesa deps needs meson drm mesa first

3 years agocannot install sysvinit-core
Luke Kenneth Casson Leighton [Sun, 17 Jul 2022 17:36:23 +0000 (18:36 +0100)]
cannot install sysvinit-core

3 years agoqemu backports explicit install separate from other packages
Luke Kenneth Casson Leighton [Sun, 17 Jul 2022 16:39:18 +0000 (17:39 +0100)]
qemu backports explicit install separate from other packages

3 years agoadd mesa build dependencies
Luke Kenneth Casson Leighton [Sun, 17 Jul 2022 16:13:27 +0000 (16:13 +0000)]
add mesa build dependencies

3 years agoinstall meson as build dependency
Luke Kenneth Casson Leighton [Fri, 15 Jul 2022 18:17:16 +0000 (18:17 +0000)]
install meson as build dependency

3 years agotasyagle-install: added origin and libresoc repos
Andrey Miroshnikov [Fri, 15 Jul 2022 21:24:31 +0000 (22:24 +0100)]
tasyagle-install: added origin and libresoc repos

3 years agoneeds -y on apt-get
Luke Kenneth Casson Leighton [Fri, 15 Jul 2022 18:13:56 +0000 (18:13 +0000)]
needs -y on apt-get

3 years agoadd texlive latex extra
Luke Kenneth Casson Leighton [Fri, 15 Jul 2022 14:42:00 +0000 (15:42 +0100)]
add texlive latex extra

3 years agoadd inkscape build depenndency
Luke Kenneth Casson Leighton [Fri, 15 Jul 2022 14:36:17 +0000 (15:36 +0100)]
add inkscape build depenndency

3 years agoadd new build dependencies
Luke Kenneth Casson Leighton [Fri, 15 Jul 2022 14:33:28 +0000 (15:33 +0100)]
add new build dependencies

3 years agofix package-pin on libcurl3-gnutls
Luke Kenneth Casson Leighton [Thu, 14 Jul 2022 12:29:12 +0000 (12:29 +0000)]
fix package-pin on libcurl3-gnutls

3 years agoadapt devscripts to only do buster-backports once
Luke Kenneth Casson Leighton [Thu, 14 Jul 2022 11:02:19 +0000 (12:02 +0100)]
adapt devscripts to only do buster-backports once

3 years agoAdded full deps from debian file
Andrey Miroshnikov [Wed, 13 Jul 2022 15:59:29 +0000 (16:59 +0100)]
Added full deps from debian file

3 years agotasyagle: Added a few more package deps, not working
Andrey Miroshnikov [Wed, 13 Jul 2022 14:53:54 +0000 (15:53 +0100)]
tasyagle: Added a few more package deps, not working

3 years agodo not run dependent scripts
Luke Kenneth Casson Leighton [Tue, 12 Jul 2022 14:54:26 +0000 (15:54 +0100)]
do not run dependent scripts

3 years agotasyagle script more functional
Andrey Miroshnikov [Tue, 12 Jul 2022 14:07:14 +0000 (15:07 +0100)]
tasyagle script more functional

3 years agoCreated tasyagle script, not functional yet
Andrey Miroshnikov [Tue, 12 Jul 2022 13:11:50 +0000 (14:11 +0100)]
Created tasyagle script, not functional yet

3 years agoAdd initial support for cvc5 and bitwuzla
R Veera Kumar [Tue, 12 Jul 2022 11:57:21 +0000 (17:27 +0530)]
Add initial support for cvc5 and bitwuzla

3 years agopin libcurl3-gnutls
Luke Kenneth Casson Leighton [Mon, 11 Jul 2022 14:24:45 +0000 (15:24 +0100)]
pin libcurl3-gnutls

3 years agopin libcurl3-gnutls
Luke Kenneth Casson Leighton [Mon, 11 Jul 2022 14:23:11 +0000 (15:23 +0100)]
pin libcurl3-gnutls

3 years agoadd migen to hdl-kestrel-repos
Luke Kenneth Casson Leighton [Thu, 7 Jul 2022 18:50:55 +0000 (19:50 +0100)]
add migen to hdl-kestrel-repos

3 years agowhitespace, keep to 80 char limit
Luke Kenneth Casson Leighton [Thu, 7 Jul 2022 18:47:22 +0000 (19:47 +0100)]
whitespace, keep to 80 char limit

3 years agoAdd build scripts for Kesrel Zephyr firmware image
Raptor Engineering Development Team [Thu, 7 Jul 2022 18:35:41 +0000 (18:35 +0000)]
Add build scripts for Kesrel Zephyr firmware image

3 years agoadding path-exports for nextpnr-ecp5, ecppack, ecpbram etc.
Luke Kenneth Casson Leighton [Wed, 6 Jul 2022 20:39:57 +0000 (21:39 +0100)]
adding path-exports for nextpnr-ecp5, ecppack, ecpbram etc.

3 years agoadd mention of dependent scripts needed for kestrel build
Luke Kenneth Casson Leighton [Wed, 6 Jul 2022 19:55:20 +0000 (20:55 +0100)]
add mention of dependent scripts needed for kestrel build

3 years agoadd missing gcc-powerpc64-linux-gnu package
Luke Kenneth Casson Leighton [Wed, 6 Jul 2022 19:55:03 +0000 (20:55 +0100)]
add missing gcc-powerpc64-linux-gnu package

3 years agowhitespace cleanup
Luke Kenneth Casson Leighton [Wed, 6 Jul 2022 19:54:44 +0000 (20:54 +0100)]
whitespace cleanup

3 years agoenv-var $KESTREL, keep (as much as possible) to 80 chars
Luke Kenneth Casson Leighton [Wed, 6 Jul 2022 19:28:29 +0000 (20:28 +0100)]
env-var $KESTREL, keep (as much as possible) to 80 chars

3 years agoadd bitwuzla/cvc5 to hdl-tools-yosys
Luke Kenneth Casson Leighton [Wed, 6 Jul 2022 19:13:39 +0000 (20:13 +0100)]
add bitwuzla/cvc5 to hdl-tools-yosys

3 years agoAdd Kestrel install and build scripts
Raptor Engineering Development Team [Wed, 6 Jul 2022 19:06:03 +0000 (19:06 +0000)]
Add Kestrel install and build scripts

3 years agoupdate yosys tag
Luke Kenneth Casson Leighton [Thu, 16 Jun 2022 07:53:48 +0000 (08:53 +0100)]
update yosys tag

3 years agoclone from libre-soc.org for SymbiYosys
Luke Kenneth Casson Leighton [Thu, 16 Jun 2022 07:52:43 +0000 (08:52 +0100)]
clone from libre-soc.org for SymbiYosys

3 years agoAdd support for libisl in binutils
R Veera Kumar [Wed, 15 Jun 2022 20:49:05 +0000 (02:19 +0530)]
Add support for libisl in binutils

3 years agoset src directory to $SUDO_USER
Luke Kenneth Casson Leighton [Tue, 14 Jun 2022 20:52:47 +0000 (21:52 +0100)]
set src directory to $SUDO_USER

3 years agoupdate to 0.13-with-write_jny tag in yosys
Luke Kenneth Casson Leighton [Tue, 14 Jun 2022 14:29:48 +0000 (15:29 +0100)]
update to 0.13-with-write_jny tag in yosys

3 years agoadd python3-setuptools-scm to list of dependencies in mk-deb-chroot
Luke Kenneth Casson Leighton [Tue, 14 Jun 2022 11:06:36 +0000 (12:06 +0100)]
add python3-setuptools-scm to list of dependencies in mk-deb-chroot

3 years agoAdd ldconfig command to installation, fixes library not found
R Veera Kumar [Mon, 13 Jun 2022 21:14:31 +0000 (02:44 +0530)]
Add ldconfig command to installation, fixes library not found

3 years agoremove prefix, install in /usr/local as default
Luke Kenneth Casson Leighton [Sat, 11 Jun 2022 08:05:42 +0000 (09:05 +0100)]
remove prefix, install in /usr/local as default

3 years agoRemoved HOST variable and --host option to configure
R Veera Kumar [Sat, 11 Jun 2022 06:51:11 +0000 (12:21 +0530)]
Removed HOST variable and --host option to configure

3 years agoRemove one configure option so to make warning as error
R Veera Kumar [Sat, 11 Jun 2022 01:57:42 +0000 (07:27 +0530)]
Remove one configure option so to make warning as error

3 years agoAdd initial binutils-gdb install script
R Veera Kumar [Fri, 10 Jun 2022 14:38:30 +0000 (20:08 +0530)]
Add initial binutils-gdb install script

3 years agoadd reproducible script
Luke Kenneth Casson Leighton [Mon, 25 Apr 2022 16:19:02 +0000 (17:19 +0100)]
add reproducible script

3 years agocharter, yken
Luke Kenneth Casson Leighton [Mon, 25 Apr 2022 16:07:50 +0000 (17:07 +0100)]
charter, yken

3 years agoadd README
Luke Kenneth Casson Leighton [Mon, 25 Apr 2022 16:02:11 +0000 (17:02 +0100)]
add README

3 years agomissing quote
Luke Kenneth Casson Leighton [Fri, 15 Apr 2022 04:43:54 +0000 (05:43 +0100)]
missing quote

3 years agocomments on fasm build dependencies
Luke Kenneth Casson Leighton [Fri, 15 Apr 2022 03:03:53 +0000 (04:03 +0100)]
comments on fasm build dependencies

3 years agoadd libantlr etc. to nextpnr-xilinx-install,
Luke Kenneth Casson Leighton [Fri, 15 Apr 2022 02:55:30 +0000 (03:55 +0100)]
add libantlr etc. to nextpnr-xilinx-install,

3 years agocomments on why cp-scripts-to-chroot is needed
Luke Kenneth Casson Leighton [Fri, 15 Apr 2022 02:46:41 +0000 (03:46 +0100)]
comments on why cp-scripts-to-chroot is needed

3 years agoadd manual install/download of fasm-0.0.2.post88
Luke Kenneth Casson Leighton [Fri, 15 Apr 2022 02:38:46 +0000 (03:38 +0100)]
add manual install/download of fasm-0.0.2.post88

3 years agocheck out verilog-wishbone at specific tag
Luke Kenneth Casson Leighton [Thu, 14 Apr 2022 11:57:31 +0000 (12:57 +0100)]
check out verilog-wishbone at specific tag

3 years agoadd verilog-wishbone (for async bridge) to hdl-dev-ls2
Luke Kenneth Casson Leighton [Thu, 14 Apr 2022 11:51:02 +0000 (12:51 +0100)]
add verilog-wishbone (for async bridge) to hdl-dev-ls2

3 years agoAdd fpga-boot-load-prog-install script
R Veera Kumar [Fri, 8 Apr 2022 09:29:17 +0000 (14:59 +0530)]
Add fpga-boot-load-prog-install script

3 years agoRemove and add yosys and nextpnr-xilinx pkg dependencies
R Veera Kumar [Wed, 6 Apr 2022 22:22:26 +0000 (03:52 +0530)]
Remove and add yosys and nextpnr-xilinx pkg dependencies

Remove yosys dependencies
Add proper prjxray dependencies
Use python3 setup.py develop for prjxray
Add proper nextpnr-xilinx dependencies

3 years agoalso add powerpc64le gcc compiler
Luke Kenneth Casson Leighton [Mon, 4 Apr 2022 22:44:16 +0000 (23:44 +0100)]
also add powerpc64le gcc compiler

3 years agoadd tercel, uart and ethmac to ls2 repos
Luke Kenneth Casson Leighton [Mon, 4 Apr 2022 20:49:36 +0000 (21:49 +0100)]
add tercel, uart and ethmac to ls2 repos

3 years agoadd no-deps to nmigen_boards
Luke Kenneth Casson Leighton [Mon, 4 Apr 2022 20:49:25 +0000 (21:49 +0100)]
add no-deps to nmigen_boards

3 years agoremove backports and installation of yosys, this is
Luke Kenneth Casson Leighton [Mon, 4 Apr 2022 16:56:58 +0000 (17:56 +0100)]
remove backports and installation of yosys, this is
the responsibility of the yosys-install script

3 years agoAdd nextpnr-xilinx installation script
R Veera Kumar [Mon, 4 Apr 2022 11:38:30 +0000 (17:08 +0530)]
Add nextpnr-xilinx installation script

3 years agoupdate iverilog to known-good version d8cb29f6e0
Luke Kenneth Casson Leighton [Thu, 31 Mar 2022 14:20:56 +0000 (15:20 +0100)]
update iverilog to known-good version d8cb29f6e0

3 years agoadd devscript for ls2, bork-fix nmigen dependency grr
Luke Kenneth Casson Leighton [Sat, 26 Mar 2022 12:39:42 +0000 (12:39 +0000)]
add devscript for ls2, bork-fix nmigen dependency grr

3 years agoadd ls2 repo
Luke Kenneth Casson Leighton [Sat, 26 Mar 2022 12:22:40 +0000 (12:22 +0000)]
add ls2 repo

3 years agoadd minicom to dependencies
Luke Kenneth Casson Leighton [Sat, 26 Mar 2022 11:58:53 +0000 (11:58 +0000)]
add minicom to dependencies

3 years agoadd specific versions for nextpnr-ecp5
Luke Kenneth Casson Leighton [Sat, 26 Mar 2022 11:52:58 +0000 (11:52 +0000)]
add specific versions for nextpnr-ecp5

3 years agosort out pinmux
Luke Kenneth Casson Leighton [Sat, 26 Mar 2022 11:27:30 +0000 (11:27 +0000)]
sort out pinmux

3 years agouse gitlab nmigen repos for now
Luke Kenneth Casson Leighton [Sat, 26 Mar 2022 11:06:11 +0000 (11:06 +0000)]
use gitlab nmigen repos for now

3 years agoinstall powerpc gcc afterwards in case it fails
Luke Kenneth Casson Leighton [Sat, 26 Mar 2022 10:29:02 +0000 (10:29 +0000)]
install powerpc gcc afterwards in case it fails

3 years agomkdir -p on /opt/chroot/$chrootdir
Luke Kenneth Casson Leighton [Sat, 26 Mar 2022 09:56:12 +0000 (09:56 +0000)]
mkdir -p on /opt/chroot/$chrootdir

3 years agomore notes about yosys and ghdl
Luke Kenneth Casson Leighton [Fri, 25 Mar 2022 16:42:09 +0000 (16:42 +0000)]
more notes about yosys and ghdl

3 years agorequire specific versions of yosys and ghdl and ghdl plugin sigh
Luke Kenneth Casson Leighton [Fri, 25 Mar 2022 12:05:14 +0000 (12:05 +0000)]
require specific versions of yosys and ghdl and ghdl plugin sigh

3 years agoadd python3-jinja2 to list of dependencies
Luke Kenneth Casson Leighton [Sun, 20 Feb 2022 18:28:36 +0000 (18:28 +0000)]
add python3-jinja2 to list of dependencies

3 years agofix broken build on ppc64le
Tobias Platen [Sun, 20 Feb 2022 13:19:51 +0000 (14:19 +0100)]
fix broken build on ppc64le

3 years agoadd comment about libxml2
Luke Kenneth Casson Leighton [Tue, 8 Feb 2022 15:40:29 +0000 (15:40 +0000)]
add comment about libxml2

3 years agoupdate vtr to latest master, stops the segfault
Luke Kenneth Casson Leighton [Tue, 8 Feb 2022 15:03:19 +0000 (15:03 +0000)]
update vtr to latest master, stops the segfault

3 years agodisable python and gui build of nextpnr-ecp5
Luke Kenneth Casson Leighton [Sat, 29 Jan 2022 14:15:49 +0000 (14:15 +0000)]
disable python and gui build of nextpnr-ecp5

3 years agoadd ghdl-yosys-plugin, update links to yosyshq
Tobias Platen [Sat, 29 Jan 2022 12:35:49 +0000 (13:35 +0100)]
add ghdl-yosys-plugin, update links to yosyshq

3 years agoadd python3-cffi to depedencies
Luke Kenneth Casson Leighton [Wed, 15 Dec 2021 12:55:15 +0000 (12:55 +0000)]
add python3-cffi to depedencies

3 years agoreplace github download of nmigen with libre-soc.
Luke Kenneth Casson Leighton [Sat, 13 Nov 2021 17:31:44 +0000 (17:31 +0000)]
replace github download of nmigen with libre-soc.
add nmigen-boards

3 years agoAdd git-man/buster to git install and minor shell line fix
R Veera Kumar [Mon, 18 Oct 2021 08:49:19 +0000 (14:19 +0530)]
Add git-man/buster to git install  and minor shell line fix

Added git-man/buster to git install line so that git successfully installs.
A minor shell line fix.

3 years agoInstall TBB and build vtr with TBB and other fixes
R Veera Kumar [Sun, 17 Oct 2021 12:57:42 +0000 (18:27 +0530)]
Install TBB and build vtr with TBB and other fixes

Add TBB installation and build vtr with TBB for multi-threading.
Give option to select tbb and vtr building.
Many other fixes.

3 years agoadd buster-backports and fpga-interchange
Luke Kenneth Casson Leighton [Sun, 10 Oct 2021 10:14:26 +0000 (11:14 +0100)]
add buster-backports and fpga-interchange

3 years agoFix for vtr file path
R Veera Kumar [Sun, 10 Oct 2021 07:08:11 +0000 (12:38 +0530)]
Fix for vtr file path

3 years agoInitial Symbiflow toolchain addition
R Veera Kumar [Sat, 9 Oct 2021 18:38:54 +0000 (00:08 +0530)]
Initial Symbiflow toolchain addition

3 years agocoriolis-install: Changed example cell name to chip_r
Andrey Miroshnikov [Thu, 7 Oct 2021 15:48:44 +0000 (16:48 +0100)]
coriolis-install: Changed example cell name to chip_r

3 years agocoriolis2-chroot: Added optional argument for specifying chroot name
Andrey Miroshnikov [Thu, 7 Oct 2021 12:37:20 +0000 (13:37 +0100)]
coriolis2-chroot: Added optional argument for specifying chroot name

3 years agocoriolis2-chroot: Script updated to run all the steps outlined in bug #714.
Andrey Miroshnikov [Thu, 7 Oct 2021 11:12:43 +0000 (12:12 +0100)]
coriolis2-chroot: Script updated to run all the steps outlined in bug #714.