dev-env-setup.git
2 years agoAdd Kestrel install and build scripts
Raptor Engineering Development Team [Wed, 6 Jul 2022 19:06:03 +0000 (19:06 +0000)]
Add Kestrel install and build scripts

2 years agoupdate yosys tag
Luke Kenneth Casson Leighton [Thu, 16 Jun 2022 07:53:48 +0000 (08:53 +0100)]
update yosys tag

2 years agoclone from libre-soc.org for SymbiYosys
Luke Kenneth Casson Leighton [Thu, 16 Jun 2022 07:52:43 +0000 (08:52 +0100)]
clone from libre-soc.org for SymbiYosys

2 years agoAdd support for libisl in binutils
R Veera Kumar [Wed, 15 Jun 2022 20:49:05 +0000 (02:19 +0530)]
Add support for libisl in binutils

2 years agoset src directory to $SUDO_USER
Luke Kenneth Casson Leighton [Tue, 14 Jun 2022 20:52:47 +0000 (21:52 +0100)]
set src directory to $SUDO_USER

2 years agoupdate to 0.13-with-write_jny tag in yosys
Luke Kenneth Casson Leighton [Tue, 14 Jun 2022 14:29:48 +0000 (15:29 +0100)]
update to 0.13-with-write_jny tag in yosys

2 years agoadd python3-setuptools-scm to list of dependencies in mk-deb-chroot
Luke Kenneth Casson Leighton [Tue, 14 Jun 2022 11:06:36 +0000 (12:06 +0100)]
add python3-setuptools-scm to list of dependencies in mk-deb-chroot

2 years agoAdd ldconfig command to installation, fixes library not found
R Veera Kumar [Mon, 13 Jun 2022 21:14:31 +0000 (02:44 +0530)]
Add ldconfig command to installation, fixes library not found

2 years agoremove prefix, install in /usr/local as default
Luke Kenneth Casson Leighton [Sat, 11 Jun 2022 08:05:42 +0000 (09:05 +0100)]
remove prefix, install in /usr/local as default

2 years agoRemoved HOST variable and --host option to configure
R Veera Kumar [Sat, 11 Jun 2022 06:51:11 +0000 (12:21 +0530)]
Removed HOST variable and --host option to configure

2 years agoRemove one configure option so to make warning as error
R Veera Kumar [Sat, 11 Jun 2022 01:57:42 +0000 (07:27 +0530)]
Remove one configure option so to make warning as error

2 years agoAdd initial binutils-gdb install script
R Veera Kumar [Fri, 10 Jun 2022 14:38:30 +0000 (20:08 +0530)]
Add initial binutils-gdb install script

2 years agoadd reproducible script
Luke Kenneth Casson Leighton [Mon, 25 Apr 2022 16:19:02 +0000 (17:19 +0100)]
add reproducible script

2 years agocharter, yken
Luke Kenneth Casson Leighton [Mon, 25 Apr 2022 16:07:50 +0000 (17:07 +0100)]
charter, yken

2 years agoadd README
Luke Kenneth Casson Leighton [Mon, 25 Apr 2022 16:02:11 +0000 (17:02 +0100)]
add README

2 years agomissing quote
Luke Kenneth Casson Leighton [Fri, 15 Apr 2022 04:43:54 +0000 (05:43 +0100)]
missing quote

2 years agocomments on fasm build dependencies
Luke Kenneth Casson Leighton [Fri, 15 Apr 2022 03:03:53 +0000 (04:03 +0100)]
comments on fasm build dependencies

2 years agoadd libantlr etc. to nextpnr-xilinx-install,
Luke Kenneth Casson Leighton [Fri, 15 Apr 2022 02:55:30 +0000 (03:55 +0100)]
add libantlr etc. to nextpnr-xilinx-install,

2 years agocomments on why cp-scripts-to-chroot is needed
Luke Kenneth Casson Leighton [Fri, 15 Apr 2022 02:46:41 +0000 (03:46 +0100)]
comments on why cp-scripts-to-chroot is needed

2 years agoadd manual install/download of fasm-0.0.2.post88
Luke Kenneth Casson Leighton [Fri, 15 Apr 2022 02:38:46 +0000 (03:38 +0100)]
add manual install/download of fasm-0.0.2.post88

2 years agocheck out verilog-wishbone at specific tag
Luke Kenneth Casson Leighton [Thu, 14 Apr 2022 11:57:31 +0000 (12:57 +0100)]
check out verilog-wishbone at specific tag

2 years agoadd verilog-wishbone (for async bridge) to hdl-dev-ls2
Luke Kenneth Casson Leighton [Thu, 14 Apr 2022 11:51:02 +0000 (12:51 +0100)]
add verilog-wishbone (for async bridge) to hdl-dev-ls2

2 years agoAdd fpga-boot-load-prog-install script
R Veera Kumar [Fri, 8 Apr 2022 09:29:17 +0000 (14:59 +0530)]
Add fpga-boot-load-prog-install script

2 years agoRemove and add yosys and nextpnr-xilinx pkg dependencies
R Veera Kumar [Wed, 6 Apr 2022 22:22:26 +0000 (03:52 +0530)]
Remove and add yosys and nextpnr-xilinx pkg dependencies

Remove yosys dependencies
Add proper prjxray dependencies
Use python3 setup.py develop for prjxray
Add proper nextpnr-xilinx dependencies

2 years agoalso add powerpc64le gcc compiler
Luke Kenneth Casson Leighton [Mon, 4 Apr 2022 22:44:16 +0000 (23:44 +0100)]
also add powerpc64le gcc compiler

2 years agoadd tercel, uart and ethmac to ls2 repos
Luke Kenneth Casson Leighton [Mon, 4 Apr 2022 20:49:36 +0000 (21:49 +0100)]
add tercel, uart and ethmac to ls2 repos

2 years agoadd no-deps to nmigen_boards
Luke Kenneth Casson Leighton [Mon, 4 Apr 2022 20:49:25 +0000 (21:49 +0100)]
add no-deps to nmigen_boards

2 years agoremove backports and installation of yosys, this is
Luke Kenneth Casson Leighton [Mon, 4 Apr 2022 16:56:58 +0000 (17:56 +0100)]
remove backports and installation of yosys, this is
the responsibility of the yosys-install script

2 years agoAdd nextpnr-xilinx installation script
R Veera Kumar [Mon, 4 Apr 2022 11:38:30 +0000 (17:08 +0530)]
Add nextpnr-xilinx installation script

2 years agoupdate iverilog to known-good version d8cb29f6e0
Luke Kenneth Casson Leighton [Thu, 31 Mar 2022 14:20:56 +0000 (15:20 +0100)]
update iverilog to known-good version d8cb29f6e0

2 years agoadd devscript for ls2, bork-fix nmigen dependency grr
Luke Kenneth Casson Leighton [Sat, 26 Mar 2022 12:39:42 +0000 (12:39 +0000)]
add devscript for ls2, bork-fix nmigen dependency grr

2 years agoadd ls2 repo
Luke Kenneth Casson Leighton [Sat, 26 Mar 2022 12:22:40 +0000 (12:22 +0000)]
add ls2 repo

2 years agoadd minicom to dependencies
Luke Kenneth Casson Leighton [Sat, 26 Mar 2022 11:58:53 +0000 (11:58 +0000)]
add minicom to dependencies

2 years agoadd specific versions for nextpnr-ecp5
Luke Kenneth Casson Leighton [Sat, 26 Mar 2022 11:52:58 +0000 (11:52 +0000)]
add specific versions for nextpnr-ecp5

2 years agosort out pinmux
Luke Kenneth Casson Leighton [Sat, 26 Mar 2022 11:27:30 +0000 (11:27 +0000)]
sort out pinmux

2 years agouse gitlab nmigen repos for now
Luke Kenneth Casson Leighton [Sat, 26 Mar 2022 11:06:11 +0000 (11:06 +0000)]
use gitlab nmigen repos for now

2 years agoinstall powerpc gcc afterwards in case it fails
Luke Kenneth Casson Leighton [Sat, 26 Mar 2022 10:29:02 +0000 (10:29 +0000)]
install powerpc gcc afterwards in case it fails

2 years agomkdir -p on /opt/chroot/$chrootdir
Luke Kenneth Casson Leighton [Sat, 26 Mar 2022 09:56:12 +0000 (09:56 +0000)]
mkdir -p on /opt/chroot/$chrootdir

2 years agomore notes about yosys and ghdl
Luke Kenneth Casson Leighton [Fri, 25 Mar 2022 16:42:09 +0000 (16:42 +0000)]
more notes about yosys and ghdl

2 years agorequire specific versions of yosys and ghdl and ghdl plugin sigh
Luke Kenneth Casson Leighton [Fri, 25 Mar 2022 12:05:14 +0000 (12:05 +0000)]
require specific versions of yosys and ghdl and ghdl plugin sigh

2 years agoadd python3-jinja2 to list of dependencies
Luke Kenneth Casson Leighton [Sun, 20 Feb 2022 18:28:36 +0000 (18:28 +0000)]
add python3-jinja2 to list of dependencies

2 years agofix broken build on ppc64le
Tobias Platen [Sun, 20 Feb 2022 13:19:51 +0000 (14:19 +0100)]
fix broken build on ppc64le

2 years agoadd comment about libxml2
Luke Kenneth Casson Leighton [Tue, 8 Feb 2022 15:40:29 +0000 (15:40 +0000)]
add comment about libxml2

2 years agoupdate vtr to latest master, stops the segfault
Luke Kenneth Casson Leighton [Tue, 8 Feb 2022 15:03:19 +0000 (15:03 +0000)]
update vtr to latest master, stops the segfault

2 years agodisable python and gui build of nextpnr-ecp5
Luke Kenneth Casson Leighton [Sat, 29 Jan 2022 14:15:49 +0000 (14:15 +0000)]
disable python and gui build of nextpnr-ecp5

2 years agoadd ghdl-yosys-plugin, update links to yosyshq
Tobias Platen [Sat, 29 Jan 2022 12:35:49 +0000 (13:35 +0100)]
add ghdl-yosys-plugin, update links to yosyshq

2 years agoadd python3-cffi to depedencies
Luke Kenneth Casson Leighton [Wed, 15 Dec 2021 12:55:15 +0000 (12:55 +0000)]
add python3-cffi to depedencies

3 years agoreplace github download of nmigen with libre-soc.
Luke Kenneth Casson Leighton [Sat, 13 Nov 2021 17:31:44 +0000 (17:31 +0000)]
replace github download of nmigen with libre-soc.
add nmigen-boards

3 years agoAdd git-man/buster to git install and minor shell line fix
R Veera Kumar [Mon, 18 Oct 2021 08:49:19 +0000 (14:19 +0530)]
Add git-man/buster to git install  and minor shell line fix

Added git-man/buster to git install line so that git successfully installs.
A minor shell line fix.

3 years agoInstall TBB and build vtr with TBB and other fixes
R Veera Kumar [Sun, 17 Oct 2021 12:57:42 +0000 (18:27 +0530)]
Install TBB and build vtr with TBB and other fixes

Add TBB installation and build vtr with TBB for multi-threading.
Give option to select tbb and vtr building.
Many other fixes.

3 years agoadd buster-backports and fpga-interchange
Luke Kenneth Casson Leighton [Sun, 10 Oct 2021 10:14:26 +0000 (11:14 +0100)]
add buster-backports and fpga-interchange

3 years agoFix for vtr file path
R Veera Kumar [Sun, 10 Oct 2021 07:08:11 +0000 (12:38 +0530)]
Fix for vtr file path

3 years agoInitial Symbiflow toolchain addition
R Veera Kumar [Sat, 9 Oct 2021 18:38:54 +0000 (00:08 +0530)]
Initial Symbiflow toolchain addition

3 years agocoriolis-install: Changed example cell name to chip_r
Andrey Miroshnikov [Thu, 7 Oct 2021 15:48:44 +0000 (16:48 +0100)]
coriolis-install: Changed example cell name to chip_r

3 years agocoriolis2-chroot: Added optional argument for specifying chroot name
Andrey Miroshnikov [Thu, 7 Oct 2021 12:37:20 +0000 (13:37 +0100)]
coriolis2-chroot: Added optional argument for specifying chroot name

3 years agocoriolis2-chroot: Script updated to run all the steps outlined in bug #714.
Andrey Miroshnikov [Thu, 7 Oct 2021 11:12:43 +0000 (12:12 +0100)]
coriolis2-chroot: Script updated to run all the steps outlined in bug #714.

3 years agocoriolis-install: Script working. Did one run with existing schroot. Will test with...
Andrey Miroshnikov [Sat, 2 Oct 2021 18:41:02 +0000 (19:41 +0100)]
coriolis-install: Script working. Did one run with existing schroot. Will test with a clean schroot before updating bug.

3 years agoRemoved duplicate coriolis scripts with old names.
Andrey Miroshnikov [Sat, 2 Oct 2021 15:48:27 +0000 (16:48 +0100)]
Removed duplicate coriolis scripts with old names.

3 years agocoriolis-install, cp-scripts-to-chroot: adjusted names to align with the rest of...
Andrey Miroshnikov [Fri, 1 Oct 2021 18:40:53 +0000 (19:40 +0100)]
coriolis-install, cp-scripts-to-chroot: adjusted names to align with the rest of the scripts.

3 years agouse adder benchmark not ARM benchmark
Luke Kenneth Casson Leighton [Fri, 1 Oct 2021 16:25:37 +0000 (17:25 +0100)]
use adder benchmark not ARM benchmark

3 years agoalliance is installed locally, in the user home directory, not as a system-wide
Luke Kenneth Casson Leighton [Fri, 1 Oct 2021 14:11:29 +0000 (15:11 +0100)]
alliance is installed locally, in the user home directory, not as a system-wide
install.
https://bugs.libre-soc.org/show_bug.cgi?id=696#c40

3 years agocheck out LS180_RC7_FINAL version of coriolis2
Luke Kenneth Casson Leighton [Mon, 27 Sep 2021 19:28:00 +0000 (20:28 +0100)]
check out LS180_RC7_FINAL version of coriolis2

3 years agomove symbiflow notes
Luke Kenneth Casson Leighton [Sat, 25 Sep 2021 12:17:46 +0000 (13:17 +0100)]
move symbiflow notes

3 years agocoriolis_install: Now using USER var instead of SUDO_USER. Made .bash_profile edit...
Andrey Miroshnikov [Thu, 23 Sep 2021 21:17:22 +0000 (22:17 +0100)]
coriolis_install: Now using USER var instead of SUDO_USER. Made .bash_profile edit into a var for both writing and echoing. Still debugging coriolisEnv.py

3 years agomk-deb-chroot: Moved brokenproxy cp command to after the debian chroot environment...
Andrey Miroshnikov [Thu, 23 Sep 2021 11:43:46 +0000 (12:43 +0100)]
mk-deb-chroot: Moved brokenproxy cp command to after the debian chroot environment is setup (after which /etc/ will exist).

3 years agoadd sudo in front of chown/chgrp and make it at the top home level
Luke Kenneth Casson Leighton [Wed, 15 Sep 2021 18:43:08 +0000 (19:43 +0100)]
add sudo in front of chown/chgrp and make it at the top home level

3 years agodo not use parallel make. guaranteed to fail and/or far too heavy a load
Luke Kenneth Casson Leighton [Wed, 15 Sep 2021 16:24:45 +0000 (17:24 +0100)]
do not use parallel make. guaranteed to fail and/or far too heavy a load

3 years agorun under bash
Luke Kenneth Casson Leighton [Wed, 15 Sep 2021 16:06:20 +0000 (17:06 +0100)]
run under bash

3 years agocp-scripts-to-chroot.sh: New script for copying this repo's scripts over to specified...
Andrey Miroshnikov [Tue, 14 Sep 2021 20:57:23 +0000 (21:57 +0100)]
cp-scripts-to-chroot.sh: New script for copying this repo's scripts over to specified chroot

3 years agoAdded missing /bin/bash at the start, bug 696 still occurring for me
Andrey Miroshnikov [Tue, 14 Sep 2021 20:50:51 +0000 (21:50 +0100)]
Added missing /bin/bash at the start, bug 696 still occurring for me

3 years agooooo annoying, scroot refuses to go if done in wrong directory
Luke Kenneth Casson Leighton [Tue, 14 Sep 2021 16:02:04 +0000 (17:02 +0100)]
oooo annoying, scroot refuses to go if done in wrong directory

3 years agorm-deb-chroot: Added a script for removing the configuration files and the root files...
Andrey Miroshnikov [Mon, 13 Sep 2021 15:37:14 +0000 (16:37 +0100)]
rm-deb-chroot: Added a script for removing the configuration files and the root files for a specified chroot

3 years agomk-deb-chroot: made schroot profile config into a variable containing the text. Varia...
Andrey Miroshnikov [Mon, 13 Sep 2021 15:34:02 +0000 (16:34 +0100)]
mk-deb-chroot: made schroot profile config into a variable containing the text. Variable is used with cat and echo (before echo print was missing the profile=)

3 years agoAdding coriolis_install for installing coriolis by a normal user (expected to be...
Andrey Miroshnikov [Sun, 12 Sep 2021 20:32:47 +0000 (21:32 +0100)]
Adding coriolis_install for installing coriolis by a normal user (expected to be used within a chroot environment)

3 years agoAdded check to see if brokenproxy apt fix was already done previously on host debian...
Andrey Miroshnikov [Sun, 12 Sep 2021 20:02:40 +0000 (21:02 +0100)]
Added check to see if brokenproxy apt fix was already done previously on host debian system

3 years agoChanged chown and chgrp to change ownership of the . directory (hdl_tools)
Andrey Miroshnikov [Fri, 10 Sep 2021 21:52:59 +0000 (22:52 +0100)]
Changed chown and chgrp to change ownership of the . directory (hdl_tools)

3 years agoARGH getting really fed up with ISP transparent proxies that break
Luke Kenneth Casson Leighton [Thu, 9 Sep 2021 14:12:05 +0000 (15:12 +0100)]
ARGH getting really fed up with ISP transparent proxies that break

3 years agoadd apt-acquire-retries to coriolis2-chroot
Luke Kenneth Casson Leighton [Wed, 8 Sep 2021 17:57:06 +0000 (18:57 +0100)]
add apt-acquire-retries to coriolis2-chroot

3 years agosort out coriolis-chroot
Luke Kenneth Casson Leighton [Wed, 8 Sep 2021 17:48:48 +0000 (18:48 +0100)]
sort out coriolis-chroot

3 years agoadd apt-acquire-retries to both chroot and host
Luke Kenneth Casson Leighton [Wed, 8 Sep 2021 17:45:14 +0000 (18:45 +0100)]
add apt-acquire-retries to both chroot and host

3 years agoadd apt-acquire-retries to stop debootstrap failing
Luke Kenneth Casson Leighton [Wed, 8 Sep 2021 17:43:46 +0000 (18:43 +0100)]
add apt-acquire-retries to stop debootstrap failing

3 years agodo not use external unauthorised uncontrolled servers.
Luke Kenneth Casson Leighton [Tue, 31 Aug 2021 12:26:26 +0000 (13:26 +0100)]
do not use external unauthorised uncontrolled servers.
do not run external scripts from completely uncontrolled servers that
could be hacked (or terminated, or go offline)

3 years agoadd python 2.7 to list of packages to install
Luke Kenneth Casson Leighton [Tue, 31 Aug 2021 12:20:11 +0000 (13:20 +0100)]
add python 2.7 to list of packages to install

3 years agoupdate pia-install to use libre-soc-install.sh
Jacob Lifshay [Wed, 25 Aug 2021 00:18:52 +0000 (17:18 -0700)]
update pia-install to use libre-soc-install.sh

3 years agoupdate pia-install to use libre-soc-install.sh
Jacob Lifshay [Wed, 25 Aug 2021 00:10:17 +0000 (17:10 -0700)]
update pia-install to use libre-soc-install.sh

3 years agoDo not create duplicate sections in schroot.conf
Mikolaj Wielgus [Fri, 20 Aug 2021 21:31:30 +0000 (23:31 +0200)]
Do not create duplicate sections in schroot.conf

3 years agoExit if the chroot directory already exists
Mikolaj Wielgus [Thu, 19 Aug 2021 21:48:20 +0000 (23:48 +0200)]
Exit if the chroot directory already exists

3 years agoUse pacman, not apt, when on Arch Linux
Mikolaj Wielgus [Wed, 18 Aug 2021 21:46:03 +0000 (23:46 +0200)]
Use pacman, not apt, when on Arch Linux

3 years agowhoops spelling
Luke Kenneth Casson Leighton [Fri, 20 Aug 2021 22:43:56 +0000 (23:43 +0100)]
whoops spelling

3 years agoadd pin-priority for buster backports
Luke Kenneth Casson Leighton [Fri, 20 Aug 2021 22:41:19 +0000 (23:41 +0100)]
add pin-priority for buster backports

3 years agoset up profile for schroot, do not copy over nssdatabases
Luke Kenneth Casson Leighton [Thu, 19 Aug 2021 22:27:01 +0000 (23:27 +0100)]
set up profile for schroot, do not copy over nssdatabases
cd to /tmp to prevent schroot error
g

3 years agocoriolis2 chroot use different fstab non-bind-mount
Luke Kenneth Casson Leighton [Thu, 19 Aug 2021 21:54:14 +0000 (22:54 +0100)]
coriolis2 chroot use different fstab non-bind-mount
use schroot instead of chroot

3 years agoexperiment using schroot itself for install
Luke Kenneth Casson Leighton [Thu, 19 Aug 2021 21:26:57 +0000 (22:26 +0100)]
experiment using schroot itself for install

3 years agouse schroot "type=directory" which means no need to do fstab modification
Luke Kenneth Casson Leighton [Thu, 19 Aug 2021 21:20:19 +0000 (22:20 +0100)]
use schroot "type=directory" which means no need to do fstab modification

3 years agouse http not https
Luke Kenneth Casson Leighton [Thu, 19 Aug 2021 21:13:27 +0000 (22:13 +0100)]
use http not https

3 years agoftp.debian.org not being reliable redirection, changing to
Luke Kenneth Casson Leighton [Thu, 19 Aug 2021 20:50:44 +0000 (21:50 +0100)]
ftp.debian.org not being reliable redirection, changing to
ftp.uk.debian.org

3 years agoadd python2.7 explicit install dependency in buster chroot
Luke Kenneth Casson Leighton [Thu, 19 Aug 2021 20:42:04 +0000 (21:42 +0100)]
add python2.7 explicit install dependency in buster chroot

3 years agouse python3 to create crypt password
Luke Kenneth Casson Leighton [Thu, 19 Aug 2021 20:36:49 +0000 (21:36 +0100)]
use python3 to create crypt password

3 years agomust be a .list file
Luke Kenneth Casson Leighton [Mon, 16 Aug 2021 19:32:51 +0000 (20:32 +0100)]
must be a .list file

3 years agoadd buster backports to hdl apt dependencies
Luke Kenneth Casson Leighton [Mon, 16 Aug 2021 19:31:56 +0000 (20:31 +0100)]
add buster backports to hdl apt dependencies