targets/ulx3s: get memtest working by disabling sdram refresh