dev-env-setup.git
2022-07-17 Luke Kenneth... meson dependency moved to linstaoo-mesa-deps
2022-07-17 Luke Kenneth... installing mesa deps needs meson drm mesa first
2022-07-17 Luke Kenneth... cannot install sysvinit-core
2022-07-17 Luke Kenneth... qemu backports explicit install separate from other...
2022-07-17 Luke Kenneth... add mesa build dependencies
2022-07-17 Luke Kenneth... install meson as build dependency
2022-07-15 Andrey Miroshnikovtasyagle-install: added origin and libresoc repos
2022-07-15 Luke Kenneth... needs -y on apt-get
2022-07-15 Luke Kenneth... add texlive latex extra
2022-07-15 Luke Kenneth... add inkscape build depenndency
2022-07-15 Luke Kenneth... add new build dependencies
2022-07-14 Luke Kenneth... fix package-pin on libcurl3-gnutls
2022-07-14 Luke Kenneth... adapt devscripts to only do buster-backports once
2022-07-13 Andrey MiroshnikovAdded full deps from debian file
2022-07-13 Andrey Miroshnikovtasyagle: Added a few more package deps, not working
2022-07-12 Luke Kenneth... do not run dependent scripts
2022-07-12 Andrey Miroshnikovtasyagle script more functional
2022-07-12 Andrey MiroshnikovCreated tasyagle script, not functional yet
2022-07-12 R Veera KumarAdd initial support for cvc5 and bitwuzla
2022-07-11 Luke Kenneth... pin libcurl3-gnutls
2022-07-11 Luke Kenneth... pin libcurl3-gnutls
2022-07-07 Luke Kenneth... add migen to hdl-kestrel-repos
2022-07-07 Luke Kenneth... whitespace, keep to 80 char limit
2022-07-07 Raptor Engineering... Add build scripts for Kesrel Zephyr firmware image
2022-07-06 Luke Kenneth... adding path-exports for nextpnr-ecp5, ecppack, ecpbram...
2022-07-06 Luke Kenneth... add mention of dependent scripts needed for kestrel...
2022-07-06 Luke Kenneth... add missing gcc-powerpc64-linux-gnu package
2022-07-06 Luke Kenneth... whitespace cleanup
2022-07-06 Luke Kenneth... env-var $KESTREL, keep (as much as possible) to 80...
2022-07-06 Luke Kenneth... add bitwuzla/cvc5 to hdl-tools-yosys
2022-07-06 Raptor Engineering... Add Kestrel install and build scripts
2022-06-16 Luke Kenneth... update yosys tag
2022-06-16 Luke Kenneth... clone from libre-soc.org for SymbiYosys
2022-06-15 R Veera KumarAdd support for libisl in binutils
2022-06-14 Luke Kenneth... set src directory to $SUDO_USER
2022-06-14 Luke Kenneth... update to 0.13-with-write_jny tag in yosys
2022-06-14 Luke Kenneth... add python3-setuptools-scm to list of dependencies...
2022-06-13 R Veera KumarAdd ldconfig command to installation, fixes library...
2022-06-11 Luke Kenneth... remove prefix, install in /usr/local as default
2022-06-11 R Veera KumarRemoved HOST variable and --host option to configure
2022-06-11 R Veera KumarRemove one configure option so to make warning as error
2022-06-10 R Veera KumarAdd initial binutils-gdb install script
2022-04-25 Luke Kenneth... add reproducible script
2022-04-25 Luke Kenneth... charter, yken
2022-04-25 Luke Kenneth... add README
2022-04-15 Luke Kenneth... missing quote
2022-04-15 Luke Kenneth... comments on fasm build dependencies
2022-04-15 Luke Kenneth... add libantlr etc. to nextpnr-xilinx-install,
2022-04-15 Luke Kenneth... comments on why cp-scripts-to-chroot is needed
2022-04-15 Luke Kenneth... add manual install/download of fasm-0.0.2.post88
2022-04-14 Luke Kenneth... check out verilog-wishbone at specific tag
2022-04-14 Luke Kenneth... add verilog-wishbone (for async bridge) to hdl-dev-ls2
2022-04-08 R Veera KumarAdd fpga-boot-load-prog-install script
2022-04-06 R Veera KumarRemove and add yosys and nextpnr-xilinx pkg dependencies
2022-04-04 Luke Kenneth... also add powerpc64le gcc compiler
2022-04-04 Luke Kenneth... add tercel, uart and ethmac to ls2 repos
2022-04-04 Luke Kenneth... add no-deps to nmigen_boards
2022-04-04 Luke Kenneth... remove backports and installation of yosys, this is
2022-04-04 R Veera KumarAdd nextpnr-xilinx installation script
2022-03-31 Luke Kenneth... update iverilog to known-good version d8cb29f6e0
2022-03-26 Luke Kenneth... add devscript for ls2, bork-fix nmigen dependency grr
2022-03-26 Luke Kenneth... add ls2 repo
2022-03-26 Luke Kenneth... add minicom to dependencies
2022-03-26 Luke Kenneth... add specific versions for nextpnr-ecp5
2022-03-26 Luke Kenneth... sort out pinmux
2022-03-26 Luke Kenneth... use gitlab nmigen repos for now
2022-03-26 Luke Kenneth... install powerpc gcc afterwards in case it fails
2022-03-26 Luke Kenneth... mkdir -p on /opt/chroot/$chrootdir
2022-03-25 Luke Kenneth... more notes about yosys and ghdl
2022-03-25 Luke Kenneth... require specific versions of yosys and ghdl and ghdl...
2022-02-20 Luke Kenneth... add python3-jinja2 to list of dependencies
2022-02-20 Tobias Platenfix broken build on ppc64le
2022-02-08 Luke Kenneth... add comment about libxml2
2022-02-08 Luke Kenneth... update vtr to latest master, stops the segfault
2022-01-29 Luke Kenneth... disable python and gui build of nextpnr-ecp5
2022-01-29 Tobias Platenadd ghdl-yosys-plugin, update links to yosyshq
2021-12-15 Luke Kenneth... add python3-cffi to depedencies
2021-11-13 Luke Kenneth... replace github download of nmigen with libre-soc.
2021-10-18 R Veera KumarAdd git-man/buster to git install and minor shell...
2021-10-17 R Veera KumarInstall TBB and build vtr with TBB and other fixes
2021-10-10 Luke Kenneth... add buster-backports and fpga-interchange
2021-10-10 R Veera KumarFix for vtr file path
2021-10-09 R Veera KumarInitial Symbiflow toolchain addition
2021-10-07 Andrey Miroshnikovcoriolis-install: Changed example cell name to chip_r
2021-10-07 Andrey Miroshnikovcoriolis2-chroot: Added optional argument for specifyin...
2021-10-07 Andrey Miroshnikovcoriolis2-chroot: Script updated to run all the steps...
2021-10-02 Andrey Miroshnikovcoriolis-install: Script working. Did one run with...
2021-10-02 Andrey MiroshnikovRemoved duplicate coriolis scripts with old names.
2021-10-01 Andrey Miroshnikovcoriolis-install, cp-scripts-to-chroot: adjusted names...
2021-10-01 Luke Kenneth... use adder benchmark not ARM benchmark
2021-10-01 Luke Kenneth... alliance is installed locally, in the user home directo...
2021-09-27 Luke Kenneth... check out LS180_RC7_FINAL version of coriolis2
2021-09-25 Luke Kenneth... move symbiflow notes
2021-09-23 Andrey Miroshnikovcoriolis_install: Now using USER var instead of SUDO_US...
2021-09-23 Andrey Miroshnikovmk-deb-chroot: Moved brokenproxy cp command to after...
2021-09-15 Luke Kenneth... add sudo in front of chown/chgrp and make it at the...
2021-09-15 Luke Kenneth... do not use parallel make. guaranteed to fail and/or...
2021-09-15 Luke Kenneth... run under bash
2021-09-14 Andrey Miroshnikovcp-scripts-to-chroot.sh: New script for copying this...
2021-09-14 Andrey MiroshnikovAdded missing /bin/bash at the start, bug 696 still...
next