Present the ALU result only when valid_o is active
[soc.git] / src /
drwxr-xr-x   ..
drwxr-xr-x - soc
drwxr-xr-x - unused