Use Elif for third m.next assignment.
[c4m-jtag.git] / MANIFEST.in
1 include c4m/vhdl/*.vhdl