dev-env-setup.git
7 weeks agoInstall powerpc gcc without a trailing "8"
Cesar Strauss [Sat, 23 Mar 2024 20:36:53 +0000 (17:36 -0300)]
Install powerpc gcc without a trailing "8"

This is needed by the Makefile of Microwatt's Hello World binary

6 months agoadd texlive-xetex package, needed for supporting "pi" symbol in
Luke Kenneth Casson Leighton [Sun, 29 Oct 2023 16:51:01 +0000 (16:51 +0000)]
add texlive-xetex package, needed for supporting "pi" symbol in
pandoc conversion of transcendental RFC

6 months agoRevert "use https to clone"
Luke Kenneth Casson Leighton [Sun, 29 Oct 2023 07:31:25 +0000 (07:31 +0000)]
Revert "use https to clone"

This reverts commit bc8a15c5d523b6b98605328a6ff8c74266883d9f.

6 months agouse https to clone
Jacob Lifshay [Wed, 25 Oct 2023 02:09:49 +0000 (19:09 -0700)]
use https to clone

6 months agoadd pytest-subtests==0.11.0
Jacob Lifshay [Wed, 25 Oct 2023 02:03:32 +0000 (19:03 -0700)]
add pytest-subtests==0.11.0

https://bugs.libre-soc.org/show_bug.cgi?id=1194

6 months agohdl-dev-repos: pin Linux kernel tag
Dmitry Selyutin [Tue, 24 Oct 2023 18:25:43 +0000 (21:25 +0300)]
hdl-dev-repos: pin Linux kernel tag

7 months agoadd ssh and rsync to install-hdl-reqs
Luke Kenneth Casson Leighton [Mon, 2 Oct 2023 11:57:33 +0000 (12:57 +0100)]
add ssh and rsync to install-hdl-reqs

7 months agohdl-dev-repos: specify syscalls generation mode
Dmitry Selyutin [Thu, 21 Sep 2023 17:57:52 +0000 (20:57 +0300)]
hdl-dev-repos: specify syscalls generation mode

7 months agohdl-dev-repos: build syscalls table
Dmitry Selyutin [Mon, 18 Sep 2023 14:37:40 +0000 (17:37 +0300)]
hdl-dev-repos: build syscalls table

8 months agoFix python-utils version
Cesar Strauss [Sat, 2 Sep 2023 11:26:58 +0000 (08:26 -0300)]
Fix python-utils version

Recent python-utils (pulled by progressbar2) is incompatible with older
Python.

8 months agosymbiflow-arch-defs needs newer cmake
Cesar Strauss [Sat, 2 Sep 2023 11:23:52 +0000 (08:23 -0300)]
symbiflow-arch-defs needs newer cmake

8 months agoAllow choosing an alternate Debian mirror for the chroot
Cesar Strauss [Tue, 22 Aug 2023 22:01:15 +0000 (19:01 -0300)]
Allow choosing an alternate Debian mirror for the chroot

For now, keep using the UK one as default.

10 months agonextpnr-xilinx-install: generate database for XC7A200T
Cesar Strauss [Mon, 10 Jul 2023 18:00:24 +0000 (18:00 +0000)]
nextpnr-xilinx-install: generate database for XC7A200T

Enables bit file support for the FPGA in the Nexys Video board.

10 months agoice40k-toolchain: New script for installing icestorm, nextpnr, tinyprog. For small...
Andrey Miroshnikov [Fri, 7 Jul 2023 17:27:54 +0000 (17:27 +0000)]
ice40k-toolchain: New script for installing icestorm, nextpnr, tinyprog. For small ice40 FPGAs

10 months agohdl-dev-repos: move mdis dependency atop
Dmitry Selyutin [Thu, 22 Jun 2023 21:14:33 +0000 (00:14 +0300)]
hdl-dev-repos: move mdis dependency atop

10 months agohdl-dev-repos: introduce mdis dependency
Dmitry Selyutin [Wed, 21 Jun 2023 21:37:14 +0000 (00:37 +0300)]
hdl-dev-repos: introduce mdis dependency

11 months agoswitch to pytest-xdist==3.3.1 pytest==7.3.1
Jacob Lifshay [Tue, 13 Jun 2023 01:40:13 +0000 (18:40 -0700)]
switch to pytest-xdist==3.3.1 pytest==7.3.1

11 months agonextpnr-xilinx-install: Missed -y flag for apt install
Andrey Miroshnikov [Wed, 7 Jun 2023 13:24:01 +0000 (13:24 +0000)]
nextpnr-xilinx-install: Missed -y flag for apt install

11 months agoadd pytest to install dependencies
Luke Kenneth Casson Leighton [Thu, 1 Jun 2023 23:43:41 +0000 (00:43 +0100)]
add pytest to install dependencies

11 months agohdl-dev-repos: Fixed cd path for pyvcd
Andrey Miroshnikov [Sun, 21 May 2023 12:53:28 +0000 (13:53 +0100)]
hdl-dev-repos: Fixed cd path for pyvcd

11 months agohdl-dev-repos: Changed pyvcd to 0.2.4
Andrey Miroshnikov [Fri, 19 May 2023 17:30:28 +0000 (18:30 +0100)]
hdl-dev-repos: Changed pyvcd to 0.2.4

11 months agohdl-dev-repos: Added pyvcd dependency (for normal and virtualenv scripts)
Andrey Miroshnikov [Fri, 19 May 2023 16:21:54 +0000 (17:21 +0100)]
hdl-dev-repos: Added pyvcd dependency (for normal and virtualenv scripts)
install-hdl-apt-reqs: Added python3-toml dependency

13 months agoremove trailing spaces
Jacob Lifshay [Thu, 13 Apr 2023 01:07:55 +0000 (18:07 -0700)]
remove trailing spaces

14 months agoadd missing libpython3.7-dev dependency
Konstantinos Margaritis [Sun, 12 Mar 2023 10:58:47 +0000 (10:58 +0000)]
add missing libpython3.7-dev dependency

15 months agosigh forgot the "y" on install qemu-system-ppc
Luke Kenneth Casson Leighton [Tue, 17 Jan 2023 16:05:28 +0000 (16:05 +0000)]
sigh forgot the "y" on install qemu-system-ppc

16 months agonextpnr-ecp5-install: Pinning prjterllis version to 1.2.1 as per bug #989
Andrey Miroshnikov [Fri, 13 Jan 2023 00:31:10 +0000 (00:31 +0000)]
nextpnr-ecp5-install: Pinning prjterllis version to 1.2.1 as per bug #989

19 months agofix(tasyagle-install): avt_env script moved to comply with FHS
Andrey Miroshnikov [Thu, 13 Oct 2022 14:12:21 +0000 (15:12 +0100)]
fix(tasyagle-install): avt_env script moved to comply with FHS

19 months agofeat(tasyagle-install): Added flex removal, running example (which fails)
Andrey Miroshnikov [Wed, 12 Oct 2022 15:46:40 +0000 (16:46 +0100)]
feat(tasyagle-install): Added flex removal, running example (which fails)

19 months agoadd libgtest-dev to install-hdl-apt-reqs
Luke Kenneth Casson Leighton [Sat, 17 Sep 2022 15:48:05 +0000 (16:48 +0100)]
add libgtest-dev to install-hdl-apt-reqs
https://bugs.libre-soc.org/show_bug.cgi?id=228

20 months agouse specific version of alliance-check-toolkit
Luke Kenneth Casson Leighton [Mon, 15 Aug 2022 15:50:56 +0000 (16:50 +0100)]
use specific version of alliance-check-toolkit

20 months agowhoops add wget to coriolis-install
Luke Kenneth Casson Leighton [Mon, 15 Aug 2022 15:46:30 +0000 (16:46 +0100)]
whoops add wget to coriolis-install

20 months agoset buster-backports pin-priority to low so as to stop it being
Luke Kenneth Casson Leighton [Mon, 15 Aug 2022 14:53:47 +0000 (15:53 +0100)]
set buster-backports pin-priority to low so as to stop it being
used by default

20 months agocheck if schroot and debootstrap installed already
Luke Kenneth Casson Leighton [Mon, 15 Aug 2022 12:56:36 +0000 (13:56 +0100)]
check if schroot and debootstrap installed already

21 months agotasyagle-install: workaround to reload .bashrc works, can now compile docs in one go
Andrey Miroshnikov [Tue, 26 Jul 2022 00:53:45 +0000 (01:53 +0100)]
tasyagle-install: workaround to reload .bashrc works, can now compile docs in one go

21 months agotasyagle-install: Added check with .bashrc, commented out doc generation
Andrey Miroshnikov [Mon, 25 Jul 2022 15:41:58 +0000 (16:41 +0100)]
tasyagle-install: Added check with .bashrc, commented out doc generation

21 months agotasyagle-install: Change file ownership to sudo_user
Andrey Miroshnikov [Mon, 25 Jul 2022 15:15:19 +0000 (16:15 +0100)]
tasyagle-install: Change file ownership to sudo_user

21 months agotasyagle-install: Add jdk package, make docs
Andrey Miroshnikov [Mon, 25 Jul 2022 15:13:52 +0000 (16:13 +0100)]
tasyagle-install: Add jdk package, make docs

21 months agoupdate to released version of cvc5 that includes powerpc64le fixes
Jacob Lifshay [Fri, 22 Jul 2022 22:13:27 +0000 (15:13 -0700)]
update to released version of cvc5 that includes powerpc64le fixes

https://bugs.libre-soc.org/show_bug.cgi?id=891

21 months agotasyagle-install: was overwriting .bashrc...
Andrey Miroshnikov [Thu, 21 Jul 2022 22:15:47 +0000 (23:15 +0100)]
tasyagle-install: was overwriting .bashrc...

21 months agotasyagle-install: Added login source for avt_env.sh
Andrey Miroshnikov [Thu, 21 Jul 2022 18:39:10 +0000 (19:39 +0100)]
tasyagle-install: Added login source for avt_env.sh

21 months agotasyagle-install: Install to /usr/local
Andrey Miroshnikov [Mon, 18 Jul 2022 11:46:08 +0000 (12:46 +0100)]
tasyagle-install: Install to /usr/local

21 months agomeson dependency moved to linstaoo-mesa-deps
Luke Kenneth Casson Leighton [Sun, 17 Jul 2022 17:56:55 +0000 (17:56 +0000)]
meson dependency moved to linstaoo-mesa-deps

21 months agoinstalling mesa deps needs meson drm mesa first
Luke Kenneth Casson Leighton [Sun, 17 Jul 2022 17:54:31 +0000 (17:54 +0000)]
installing mesa deps needs meson drm mesa first

21 months agocannot install sysvinit-core
Luke Kenneth Casson Leighton [Sun, 17 Jul 2022 17:36:23 +0000 (18:36 +0100)]
cannot install sysvinit-core

21 months agoqemu backports explicit install separate from other packages
Luke Kenneth Casson Leighton [Sun, 17 Jul 2022 16:39:18 +0000 (17:39 +0100)]
qemu backports explicit install separate from other packages

21 months agoadd mesa build dependencies
Luke Kenneth Casson Leighton [Sun, 17 Jul 2022 16:13:27 +0000 (16:13 +0000)]
add mesa build dependencies

21 months agoinstall meson as build dependency
Luke Kenneth Casson Leighton [Fri, 15 Jul 2022 18:17:16 +0000 (18:17 +0000)]
install meson as build dependency

21 months agotasyagle-install: added origin and libresoc repos
Andrey Miroshnikov [Fri, 15 Jul 2022 21:24:31 +0000 (22:24 +0100)]
tasyagle-install: added origin and libresoc repos

21 months agoneeds -y on apt-get
Luke Kenneth Casson Leighton [Fri, 15 Jul 2022 18:13:56 +0000 (18:13 +0000)]
needs -y on apt-get

21 months agoadd texlive latex extra
Luke Kenneth Casson Leighton [Fri, 15 Jul 2022 14:42:00 +0000 (15:42 +0100)]
add texlive latex extra

21 months agoadd inkscape build depenndency
Luke Kenneth Casson Leighton [Fri, 15 Jul 2022 14:36:17 +0000 (15:36 +0100)]
add inkscape build depenndency

21 months agoadd new build dependencies
Luke Kenneth Casson Leighton [Fri, 15 Jul 2022 14:33:28 +0000 (15:33 +0100)]
add new build dependencies

22 months agofix package-pin on libcurl3-gnutls
Luke Kenneth Casson Leighton [Thu, 14 Jul 2022 12:29:12 +0000 (12:29 +0000)]
fix package-pin on libcurl3-gnutls

22 months agoadapt devscripts to only do buster-backports once
Luke Kenneth Casson Leighton [Thu, 14 Jul 2022 11:02:19 +0000 (12:02 +0100)]
adapt devscripts to only do buster-backports once

22 months agoAdded full deps from debian file
Andrey Miroshnikov [Wed, 13 Jul 2022 15:59:29 +0000 (16:59 +0100)]
Added full deps from debian file

22 months agotasyagle: Added a few more package deps, not working
Andrey Miroshnikov [Wed, 13 Jul 2022 14:53:54 +0000 (15:53 +0100)]
tasyagle: Added a few more package deps, not working

22 months agodo not run dependent scripts
Luke Kenneth Casson Leighton [Tue, 12 Jul 2022 14:54:26 +0000 (15:54 +0100)]
do not run dependent scripts

22 months agotasyagle script more functional
Andrey Miroshnikov [Tue, 12 Jul 2022 14:07:14 +0000 (15:07 +0100)]
tasyagle script more functional

22 months agoCreated tasyagle script, not functional yet
Andrey Miroshnikov [Tue, 12 Jul 2022 13:11:50 +0000 (14:11 +0100)]
Created tasyagle script, not functional yet

22 months agoAdd initial support for cvc5 and bitwuzla
R Veera Kumar [Tue, 12 Jul 2022 11:57:21 +0000 (17:27 +0530)]
Add initial support for cvc5 and bitwuzla

22 months agopin libcurl3-gnutls
Luke Kenneth Casson Leighton [Mon, 11 Jul 2022 14:24:45 +0000 (15:24 +0100)]
pin libcurl3-gnutls

22 months agopin libcurl3-gnutls
Luke Kenneth Casson Leighton [Mon, 11 Jul 2022 14:23:11 +0000 (15:23 +0100)]
pin libcurl3-gnutls

22 months agoadd migen to hdl-kestrel-repos
Luke Kenneth Casson Leighton [Thu, 7 Jul 2022 18:50:55 +0000 (19:50 +0100)]
add migen to hdl-kestrel-repos

22 months agowhitespace, keep to 80 char limit
Luke Kenneth Casson Leighton [Thu, 7 Jul 2022 18:47:22 +0000 (19:47 +0100)]
whitespace, keep to 80 char limit

22 months agoAdd build scripts for Kesrel Zephyr firmware image
Raptor Engineering Development Team [Thu, 7 Jul 2022 18:35:41 +0000 (18:35 +0000)]
Add build scripts for Kesrel Zephyr firmware image

22 months agoadding path-exports for nextpnr-ecp5, ecppack, ecpbram etc.
Luke Kenneth Casson Leighton [Wed, 6 Jul 2022 20:39:57 +0000 (21:39 +0100)]
adding path-exports for nextpnr-ecp5, ecppack, ecpbram etc.

22 months agoadd mention of dependent scripts needed for kestrel build
Luke Kenneth Casson Leighton [Wed, 6 Jul 2022 19:55:20 +0000 (20:55 +0100)]
add mention of dependent scripts needed for kestrel build

22 months agoadd missing gcc-powerpc64-linux-gnu package
Luke Kenneth Casson Leighton [Wed, 6 Jul 2022 19:55:03 +0000 (20:55 +0100)]
add missing gcc-powerpc64-linux-gnu package

22 months agowhitespace cleanup
Luke Kenneth Casson Leighton [Wed, 6 Jul 2022 19:54:44 +0000 (20:54 +0100)]
whitespace cleanup

22 months agoenv-var $KESTREL, keep (as much as possible) to 80 chars
Luke Kenneth Casson Leighton [Wed, 6 Jul 2022 19:28:29 +0000 (20:28 +0100)]
env-var $KESTREL, keep (as much as possible) to 80 chars

22 months agoadd bitwuzla/cvc5 to hdl-tools-yosys
Luke Kenneth Casson Leighton [Wed, 6 Jul 2022 19:13:39 +0000 (20:13 +0100)]
add bitwuzla/cvc5 to hdl-tools-yosys

22 months agoAdd Kestrel install and build scripts
Raptor Engineering Development Team [Wed, 6 Jul 2022 19:06:03 +0000 (19:06 +0000)]
Add Kestrel install and build scripts

22 months agoupdate yosys tag
Luke Kenneth Casson Leighton [Thu, 16 Jun 2022 07:53:48 +0000 (08:53 +0100)]
update yosys tag

22 months agoclone from libre-soc.org for SymbiYosys
Luke Kenneth Casson Leighton [Thu, 16 Jun 2022 07:52:43 +0000 (08:52 +0100)]
clone from libre-soc.org for SymbiYosys

22 months agoAdd support for libisl in binutils
R Veera Kumar [Wed, 15 Jun 2022 20:49:05 +0000 (02:19 +0530)]
Add support for libisl in binutils

22 months agoset src directory to $SUDO_USER
Luke Kenneth Casson Leighton [Tue, 14 Jun 2022 20:52:47 +0000 (21:52 +0100)]
set src directory to $SUDO_USER

23 months agoupdate to 0.13-with-write_jny tag in yosys
Luke Kenneth Casson Leighton [Tue, 14 Jun 2022 14:29:48 +0000 (15:29 +0100)]
update to 0.13-with-write_jny tag in yosys

23 months agoadd python3-setuptools-scm to list of dependencies in mk-deb-chroot
Luke Kenneth Casson Leighton [Tue, 14 Jun 2022 11:06:36 +0000 (12:06 +0100)]
add python3-setuptools-scm to list of dependencies in mk-deb-chroot

23 months agoAdd ldconfig command to installation, fixes library not found
R Veera Kumar [Mon, 13 Jun 2022 21:14:31 +0000 (02:44 +0530)]
Add ldconfig command to installation, fixes library not found

23 months agoremove prefix, install in /usr/local as default
Luke Kenneth Casson Leighton [Sat, 11 Jun 2022 08:05:42 +0000 (09:05 +0100)]
remove prefix, install in /usr/local as default

23 months agoRemoved HOST variable and --host option to configure
R Veera Kumar [Sat, 11 Jun 2022 06:51:11 +0000 (12:21 +0530)]
Removed HOST variable and --host option to configure

23 months agoRemove one configure option so to make warning as error
R Veera Kumar [Sat, 11 Jun 2022 01:57:42 +0000 (07:27 +0530)]
Remove one configure option so to make warning as error

23 months agoAdd initial binutils-gdb install script
R Veera Kumar [Fri, 10 Jun 2022 14:38:30 +0000 (20:08 +0530)]
Add initial binutils-gdb install script

2 years agoadd reproducible script
Luke Kenneth Casson Leighton [Mon, 25 Apr 2022 16:19:02 +0000 (17:19 +0100)]
add reproducible script

2 years agocharter, yken
Luke Kenneth Casson Leighton [Mon, 25 Apr 2022 16:07:50 +0000 (17:07 +0100)]
charter, yken

2 years agoadd README
Luke Kenneth Casson Leighton [Mon, 25 Apr 2022 16:02:11 +0000 (17:02 +0100)]
add README

2 years agomissing quote
Luke Kenneth Casson Leighton [Fri, 15 Apr 2022 04:43:54 +0000 (05:43 +0100)]
missing quote

2 years agocomments on fasm build dependencies
Luke Kenneth Casson Leighton [Fri, 15 Apr 2022 03:03:53 +0000 (04:03 +0100)]
comments on fasm build dependencies

2 years agoadd libantlr etc. to nextpnr-xilinx-install,
Luke Kenneth Casson Leighton [Fri, 15 Apr 2022 02:55:30 +0000 (03:55 +0100)]
add libantlr etc. to nextpnr-xilinx-install,

2 years agocomments on why cp-scripts-to-chroot is needed
Luke Kenneth Casson Leighton [Fri, 15 Apr 2022 02:46:41 +0000 (03:46 +0100)]
comments on why cp-scripts-to-chroot is needed

2 years agoadd manual install/download of fasm-0.0.2.post88
Luke Kenneth Casson Leighton [Fri, 15 Apr 2022 02:38:46 +0000 (03:38 +0100)]
add manual install/download of fasm-0.0.2.post88

2 years agocheck out verilog-wishbone at specific tag
Luke Kenneth Casson Leighton [Thu, 14 Apr 2022 11:57:31 +0000 (12:57 +0100)]
check out verilog-wishbone at specific tag

2 years agoadd verilog-wishbone (for async bridge) to hdl-dev-ls2
Luke Kenneth Casson Leighton [Thu, 14 Apr 2022 11:51:02 +0000 (12:51 +0100)]
add verilog-wishbone (for async bridge) to hdl-dev-ls2

2 years agoAdd fpga-boot-load-prog-install script
R Veera Kumar [Fri, 8 Apr 2022 09:29:17 +0000 (14:59 +0530)]
Add fpga-boot-load-prog-install script

2 years agoRemove and add yosys and nextpnr-xilinx pkg dependencies
R Veera Kumar [Wed, 6 Apr 2022 22:22:26 +0000 (03:52 +0530)]
Remove and add yosys and nextpnr-xilinx pkg dependencies

Remove yosys dependencies
Add proper prjxray dependencies
Use python3 setup.py develop for prjxray
Add proper nextpnr-xilinx dependencies

2 years agoalso add powerpc64le gcc compiler
Luke Kenneth Casson Leighton [Mon, 4 Apr 2022 22:44:16 +0000 (23:44 +0100)]
also add powerpc64le gcc compiler

2 years agoadd tercel, uart and ethmac to ls2 repos
Luke Kenneth Casson Leighton [Mon, 4 Apr 2022 20:49:36 +0000 (21:49 +0100)]
add tercel, uart and ethmac to ls2 repos

2 years agoadd no-deps to nmigen_boards
Luke Kenneth Casson Leighton [Mon, 4 Apr 2022 20:49:25 +0000 (21:49 +0100)]
add no-deps to nmigen_boards

2 years agoremove backports and installation of yosys, this is
Luke Kenneth Casson Leighton [Mon, 4 Apr 2022 16:56:58 +0000 (17:56 +0100)]
remove backports and installation of yosys, this is
the responsibility of the yosys-install script

2 years agoAdd nextpnr-xilinx installation script
R Veera Kumar [Mon, 4 Apr 2022 11:38:30 +0000 (17:08 +0530)]
Add nextpnr-xilinx installation script