add comment about add0+add1 stages
authorLuke Kenneth Casson Leighton <lkcl@lkcl.net>
Sat, 2 Mar 2019 11:16:05 +0000 (11:16 +0000)
committerLuke Kenneth Casson Leighton <lkcl@lkcl.net>
Sat, 2 Mar 2019 11:16:05 +0000 (11:16 +0000)
src/add/nmigen_add_experiment.py

index 31051211463d07979cf2ea6d84409fa4da205c77..b69c70253eb2da54c3e6df5a9b5fddc30ccb1b41 100644 (file)
@@ -459,6 +459,7 @@ class FPAddStage0(FPState):
 
     def action(self, m):
         m.next = "add_1"
+        # NOTE: these could be done as combinatorial (merge add0+add1)
         m.d.sync += self.out_z.copy(self.mod.out_z)
         m.d.sync += self.out_tot.eq(self.mod.out_tot)