add grant links, and record of funding under #538
[nmutil.git] / src / nmutil / latch.py
index 813ad64bd7318691560ca8c3740c81b2516307b5..908c15cecf7ea77c955047934fa59704481adb9a 100644 (file)
@@ -1,3 +1,10 @@
+"""
+    This work is funded through NLnet under Grant 2019-02-012
+
+    License: LGPLv3+
+
+
+"""
 from nmigen.compat.sim import run_simulation
 from nmigen.cli import verilog, rtlil
 from nmigen import Record, Signal, Module, Const, Elaboratable, Mux