whoops accidentally indented too far
[ieee754fpu.git] / src / add / nmigen_add_experiment.py
1 # IEEE Floating Point Adder (Single Precision)
2 # Copyright (C) Jonathan P Dawson 2013
3 # 2013-12-12
4
5 from nmigen import Module, Signal, Cat
6 from nmigen.cli import main
7
8
9 class FPADD:
10 def __init__(self, width):
11 self.width = width
12
13 self.in_a = Signal(width)
14 self.in_a_stb = Signal()
15 self.in_a_ack = Signal()
16
17 self.in_b = Signal(width)
18 self.in_b_stb = Signal()
19 self.in_b_ack = Signal()
20
21 self.out_z = Signal(width)
22 self.out_z_stb = Signal()
23 self.out_z_ack = Signal()
24
25 s_out_z_stb = Signal()
26 s_out_z = Signal(width)
27 s_in_a_ack = Signal()
28 s_in_b_ack = Signal()
29
30 def get_fragment(self, platform):
31 m = Module()
32
33 # Latches
34 a = Signal(self.width)
35 b = Signal(self.width)
36 z = Signal(self.width)
37
38 # Mantissa
39 a_m = Signal(27) # ??? seems to be 1 bit extra??
40 b_m = Signal(27) # ??? seems to be 1 bit extra??
41 z_m = Signal(24)
42
43 # Exponent
44 a_e = Signal(10)
45 b_e = Signal(10)
46 z_e = Signal(10)
47
48 # Sign
49 a_s = Signal()
50 b_s = Signal()
51 z_s = Signal()
52
53 guard = Signal()
54 round_bit = Signal()
55 sticky = Signal()
56
57 tot = Signal(28)
58
59 with m.FSM() as fsm:
60
61 # ******
62 # gets operand a
63
64 with m.State("get_a"):
65 with m.If((self.in_a_ack) & (self.in_a_stb)):
66 m.next = "get_b"
67 m.d.sync += [
68 a.eq(self.in_a),
69 self.in_a_ack.eq(0)
70 ]
71 with m.Else():
72 m.d.sync += self.in_a_ack.eq(1)
73
74 # ******
75 # gets operand b
76
77 with m.State("get_b"):
78 with m.If((self.in_b_ack) & (self.in_b_stb)):
79 m.next = "get_a"
80 m.d.sync += [
81 b.eq(self.in_b),
82 self.in_b_ack.eq(0)
83 ]
84 with m.Else():
85 m.d.sync += self.in_b_ack.eq(1)
86
87 # ******
88 # unpacks operands into sign, mantissa and exponent
89
90 with m.State("unpack"):
91 m.next = "special_cases"
92 m.d.sync += [
93 # mantissa
94 a_m.eq(Cat(0, 0, 0, a[0:23])),
95 b_m.eq(Cat(0, 0, 0, b[0:23])),
96 # exponent (take off exponent bias, here)
97 a_e.eq(Cat(a[23:31]) - 127),
98 b_e.eq(Cat(b[23:31]) - 127),
99 # sign
100 a_s.eq(Cat(a[31])),
101 b_s.eq(Cat(b[31]))
102 ]
103
104 # ******
105 # special cases: NaNs, infs, zeros, denormalised
106
107 with m.State("special_cases"):
108
109 # if a is NaN or b is NaN return NaN
110 with m.If(((a_e == 128) & (a_m != 0)) | \
111 ((b_e == 128) & (b_m != 0))):
112 m.next = "put_z"
113 m.d.sync += [
114 z[31].eq(1), # sign: 1
115 z[23:31].eq(255), # exp: 0b11111...
116 z[22].eq(1), # mantissa top bit: 1
117 z[0:22].eq(0) # mantissa rest: 0b0000...
118 ]
119
120 # if a is inf return inf (or NaN)
121 with m.Elif(a_e == 128):
122 m.next = "put_z"
123 m.d.sync += [
124 z[31].eq(a_s), # sign: a_s
125 z[23:31].eq(255), # exp: 0b11111...
126 z[0:23].eq(0) # mantissa rest: 0b0000...
127 ]
128 # if a is inf and signs don't match return NaN
129 with m.If((b_e == 128) & (a_s != b_s)):
130 m.d.sync += [
131 z[31].eq(b_s), # sign: b_s
132 z[23:31].eq(255), # exp: 0b11111...
133 z[22].eq(1), # mantissa top bit: 1
134 z[0:22].eq(0) # mantissa rest: 0b0000...
135 ]
136 # if b is inf return inf
137 with m.Elif(b_e == 128):
138 m.next = "put_z"
139 m.d.sync += [
140 z[31].eq(b_s), # sign: b_s
141 z[23:31].eq(255), # exp: 0b11111...
142 z[0:23].eq(0) # mantissa rest: 0b0000...
143 ]
144
145 # if a is zero and b zero return signed-a/b
146 with m.Elif(((a_e == -127) & (a_m == 0)) & \
147 ((b_e == -127) & (b_m == 0))):
148 m.next = "put_z"
149 m.d.sync += [
150 z[31].eq(a_s & b_s), # sign: a/b_s
151 z[23:31].eq(b_e[0:8] + 127), # exp: b_e (plus bias)
152 z[0:23].eq(b_m[3:26]) # mantissa: b_m top bits
153 ]
154
155 # if a is zero return b
156 with m.Elif((a_e == -127) & (a_m == 0)):
157 m.next = "put_z"
158 m.d.sync += [
159 z[31].eq(b_s), # sign: a/b_s
160 z[23:31].eq(b_e[0:8] + 127), # exp: b_e (plus bias)
161 z[0:23].eq(b_m[3:26]) # mantissa: b_m top bits
162 ]
163
164 # if b is zero return a
165 with m.Elif((b_e == -127) & (b_m == 0)):
166 m.next = "put_z"
167 m.d.sync += [
168 z[31].eq(a_s), # sign: a/b_s
169 z[23:31].eq(a_e[0:8] + 127), # exp: a_e (plus bias)
170 z[0:23].eq(a_m[3:26]) # mantissa: a_m top bits
171 ]
172
173 # Denormalised Number checks
174 with m.Else():
175 m.next = "align"
176 # denormalise a check
177 with m.If(a_e == -127):
178 m.d.sync += a_e.eq(-126) # limit a exponent
179 with m.Else():
180 m.d.sync += a_m[26].eq(1) # set highest mantissa bit
181 # denormalise b check
182 with m.If(b_e == -127):
183 m.d.sync += b_e.eq(-126) # limit b exponent
184 with m.Else():
185 m.d.sync += b_m[26].eq(1) # set highest mantissa bit
186
187 # ******
188 # First stage of add
189
190 with m.State("add_0"):
191 m.next = "add_1"
192 m.d.sync += z_e.eq(a_e)
193 # same-sign (both negative or both positive) add mantissas
194 with m.If(a_s == b_s):
195 m.d.sync += [
196 tot.eq(a_m + b_m),
197 z_s.eq(a_s)
198 ]
199 # a mantissa greater than b, use a
200 with m.Else(a_m >= b_m):
201 m.d.sync += [
202 tot.eq(a_m - b_m),
203 z_s.eq(a_s)
204 ]
205 # b mantissa greater than a, use b
206 with m.Else():
207 m.sync += [
208 tot.eq(b_m - a_m),
209 z_s.eq(b_s)
210 ]
211 return m
212
213 """
214 always @(posedge clk)
215 begin
216
217 case(state)
218
219 get_a:
220 begin
221 s_in_a_ack <= 1;
222 if (s_in_a_ack && in_a_stb) begin
223 a <= in_a;
224 s_in_a_ack <= 0;
225 state <= get_b;
226 end
227 end
228
229 get_b:
230 begin
231 s_in_b_ack <= 1;
232 if (s_in_b_ack && in_b_stb) begin
233 b <= in_b;
234 s_in_b_ack <= 0;
235 state <= unpack;
236 end
237 end
238
239 unpack:
240 begin
241 a_m <= {a[22 : 0], 3'd0};
242 b_m <= {b[22 : 0], 3'd0};
243 a_e <= a[30 : 23] - 127;
244 b_e <= b[30 : 23] - 127;
245 a_s <= a[31];
246 b_s <= b[31];
247 state <= special_cases;
248 end
249
250 special_cases:
251 begin
252 //if a is NaN or b is NaN return NaN
253 if ((a_e == 128 && a_m != 0) || (b_e == 128 && b_m != 0)) begin
254 z[31] <= 1;
255 z[30:23] <= 255;
256 z[22] <= 1;
257 z[21:0] <= 0;
258 state <= put_z;
259 //if a is inf return inf
260 end else if (a_e == 128) begin
261 z[31] <= a_s;
262 z[30:23] <= 255;
263 z[22:0] <= 0;
264 //if a is inf and signs don't match return nan
265 if ((b_e == 128) && (a_s != b_s)) begin
266 z[31] <= b_s;
267 z[30:23] <= 255;
268 z[22] <= 1;
269 z[21:0] <= 0;
270 end
271 state <= put_z;
272 //if b is inf return inf
273 end else if (b_e == 128) begin
274 z[31] <= b_s;
275 z[30:23] <= 255;
276 z[22:0] <= 0;
277 state <= put_z;
278 //if a is zero return b
279 end else if ((($signed(a_e) == -127) && (a_m == 0)) && (($signed(b_e) == -127) && (b_m == 0))) begin
280 z[31] <= a_s & b_s;
281 z[30:23] <= b_e[7:0] + 127;
282 z[22:0] <= b_m[26:3];
283 state <= put_z;
284 //if a is zero return b
285 end else if (($signed(a_e) == -127) && (a_m == 0)) begin
286 z[31] <= b_s;
287 z[30:23] <= b_e[7:0] + 127;
288 z[22:0] <= b_m[26:3];
289 state <= put_z;
290 //if b is zero return a
291 end else if (($signed(b_e) == -127) && (b_m == 0)) begin
292 z[31] <= a_s;
293 z[30:23] <= a_e[7:0] + 127;
294 z[22:0] <= a_m[26:3];
295 state <= put_z;
296 end else begin
297 //Denormalised Number
298 if ($signed(a_e) == -127) begin
299 a_e <= -126;
300 end else begin
301 a_m[26] <= 1;
302 end
303 //Denormalised Number
304 if ($signed(b_e) == -127) begin
305 b_e <= -126;
306 end else begin
307 b_m[26] <= 1;
308 end
309 state <= align;
310 end
311 end
312
313 align:
314 begin
315 if ($signed(a_e) > $signed(b_e)) begin
316 b_e <= b_e + 1;
317 b_m <= b_m >> 1;
318 b_m[0] <= b_m[0] | b_m[1];
319 end else if ($signed(a_e) < $signed(b_e)) begin
320 a_e <= a_e + 1;
321 a_m <= a_m >> 1;
322 a_m[0] <= a_m[0] | a_m[1];
323 end else begin
324 state <= add_0;
325 end
326 end
327
328 add_0:
329 begin
330 z_e <= a_e;
331 if (a_s == b_s) begin
332 tot <= a_m + b_m;
333 z_s <= a_s;
334 end else begin
335 if (a_m >= b_m) begin
336 tot <= a_m - b_m;
337 z_s <= a_s;
338 end else begin
339 tot <= b_m - a_m;
340 z_s <= b_s;
341 end
342 end
343 state <= add_1;
344 end
345
346 add_1:
347 begin
348 if (tot[27]) begin
349 z_m <= tot[27:4];
350 guard <= tot[3];
351 round_bit <= tot[2];
352 sticky <= tot[1] | tot[0];
353 z_e <= z_e + 1;
354 end else begin
355 z_m <= tot[26:3];
356 guard <= tot[2];
357 round_bit <= tot[1];
358 sticky <= tot[0];
359 end
360 state <= normalise_1;
361 end
362
363 normalise_1:
364 begin
365 if (z_m[23] == 0 && $signed(z_e) > -126) begin
366 z_e <= z_e - 1;
367 z_m <= z_m << 1;
368 z_m[0] <= guard;
369 guard <= round_bit;
370 round_bit <= 0;
371 end else begin
372 state <= normalise_2;
373 end
374 end
375
376 normalise_2:
377 begin
378 if ($signed(z_e) < -126) begin
379 z_e <= z_e + 1;
380 z_m <= z_m >> 1;
381 guard <= z_m[0];
382 round_bit <= guard;
383 sticky <= sticky | round_bit;
384 end else begin
385 state <= round;
386 end
387 end
388
389 round:
390 begin
391 if (guard && (round_bit | sticky | z_m[0])) begin
392 z_m <= z_m + 1;
393 if (z_m == 24'hffffff) begin
394 z_e <=z_e + 1;
395 end
396 end
397 state <= pack;
398 end
399
400 pack:
401 begin
402 z[22 : 0] <= z_m[22:0];
403 z[30 : 23] <= z_e[7:0] + 127;
404 z[31] <= z_s;
405 if ($signed(z_e) == -126 && z_m[23] == 0) begin
406 z[30 : 23] <= 0;
407 end
408 if ($signed(z_e) == -126 && z_m[23:0] == 24'h0) begin
409 z[31] <= 1'b0; // FIX SIGN BUG: -a + a = +0.
410 end
411 //if overflow occurs, return inf
412 if ($signed(z_e) > 127) begin
413 z[22 : 0] <= 0;
414 z[30 : 23] <= 255;
415 z[31] <= z_s;
416 end
417 state <= put_z;
418 end
419
420 put_z:
421 begin
422 s_out_z_stb <= 1;
423 s_out_z <= z;
424 if (s_out_z_stb && out_z_ack) begin
425 s_out_z_stb <= 0;
426 state <= get_a;
427 end
428 end
429
430 endcase
431
432 if (rst == 1) begin
433 state <= get_a;
434 s_in_a_ack <= 0;
435 s_in_b_ack <= 0;
436 s_out_z_stb <= 0;
437 end
438
439 end
440 assign in_a_ack = s_in_a_ack;
441 assign in_b_ack = s_in_b_ack;
442 assign out_z_stb = s_out_z_stb;
443 assign out_z = s_out_z;
444
445 endmodule
446 """
447
448 if __name__ == "__main__":
449 alu = FPADD(width=32)
450 main(alu, ports=[
451 alu.in_a, alu.in_a_stb, alu.in_a_ack,
452 alu.in_b, alu.in_b_stb, alu.in_b_ack,
453 alu.out_z, alu.out_z_stb, alu.out_z_ack,
454 ])