experiment morphing ALU to take subset of Decode2ToExecute1
[soc.git] / src / soc / experiment / compalu.py
1 from nmigen.compat.sim import run_simulation
2 from nmigen.cli import verilog, rtlil
3 from nmigen import Module, Signal, Mux, Elaboratable
4
5 from nmutil.latch import SRLatch, latchregister
6 from soc.decoder.power_decoder2 import Data
7 from soc.decoder.power_enums import InternalOp
8
9 from alu_hier import CompALUOpSubset
10
11 """ Computation Unit (aka "ALU Manager").
12
13 This module runs a "revolving door" set of three latches, based on
14 * Issue
15 * Go_Read
16 * Go_Write
17 where one of them cannot be set on any given cycle.
18 (Note however that opc_l has been inverted (and qn used), due to SRLatch
19 default reset state being "0" rather than "1")
20
21 * When issue is first raised, a busy signal is sent out.
22 The src1 and src2 registers and the operand can be latched in
23 at this point
24
25 * Read request is set, which is acknowledged through the Scoreboard
26 to the priority picker, which generates (one and only one) Go_Read
27 at a time. One of those will (eventually) be this Computation Unit.
28
29 * Once Go_Read is set, the src1/src2/operand latch door shuts (locking
30 src1/src2/operand in place), and the ALU is told to proceed.
31
32 * As this is currently a "demo" unit, a countdown timer is activated
33 to simulate an ALU "pipeline", which activates "write request release",
34 and the ALU's output is captured into a temporary register.
35
36 * Write request release will go through a similar process as Read request,
37 resulting (eventually) in Go_Write being asserted.
38
39 * When Go_Write is asserted, two things happen: (1) the data in the temp
40 register is placed combinatorially onto the output, and (2) the
41 req_l latch is cleared, busy is dropped, and the Comp Unit is back
42 through its revolving door to do another task.
43 """
44
45
46 class ComputationUnitNoDelay(Elaboratable):
47 def __init__(self, rwid, e, alu):
48 self.rwid = rwid
49 self.alu = alu # actual ALU - set as a "submodule" of the CU
50 self.e = e # decoded instruction
51
52 self.counter = Signal(4)
53 self.go_rd_i = Signal(reset_less=True) # go read in
54 self.go_wr_i = Signal(reset_less=True) # go write in
55 self.issue_i = Signal(reset_less=True) # fn issue in
56 self.shadown_i = Signal(reset=1) # shadow function, defaults to ON
57 self.go_die_i = Signal() # go die (reset)
58
59 # operation / data input
60 self.oper_i = CompALUOpSubset() # operand
61 self.imm_i = self.oper_i.imm_data # immediate in
62 self.src1_i = Signal(rwid, reset_less=True) # oper1 in
63 self.src2_i = Signal(rwid, reset_less=True) # oper2 in
64
65 self.busy_o = Signal(reset_less=True) # fn busy out
66 self.data_o = Signal(rwid, reset_less=True) # Dest out
67 self.rd_rel_o = Signal(reset_less=True) # release src1/src2 request
68 self.req_rel_o = Signal(reset_less=True) # release request out (valid_o)
69 self.done_o = self.req_rel_o # 'normalise' API
70
71 def elaborate(self, platform):
72 m = Module()
73 m.submodules.alu = self.alu
74 m.submodules.src_l = src_l = SRLatch(sync=False)
75 m.submodules.opc_l = opc_l = SRLatch(sync=False)
76 m.submodules.req_l = req_l = SRLatch(sync=False)
77
78 # shadow/go_die
79 reset_w = Signal(reset_less=True)
80 reset_r = Signal(reset_less=True)
81 m.d.comb += reset_w.eq(self.go_wr_i | self.go_die_i)
82 m.d.comb += reset_r.eq(self.go_rd_i | self.go_die_i)
83
84 # This is fascinating and very important to observe that this
85 # is in effect a "3-way revolving door". At no time may all 3
86 # latches be set at the same time.
87
88 # opcode latch (not using go_rd_i) - inverted so that busy resets to 0
89 m.d.sync += opc_l.s.eq(self.issue_i) # XXX NOTE: INVERTED FROM book!
90 m.d.sync += opc_l.r.eq(reset_w) # XXX NOTE: INVERTED FROM book!
91
92 # src operand latch (not using go_wr_i)
93 m.d.sync += src_l.s.eq(self.issue_i)
94 m.d.sync += src_l.r.eq(reset_r)
95
96 # dest operand latch (not using issue_i)
97 m.d.sync += req_l.s.eq(self.go_rd_i)
98 m.d.sync += req_l.r.eq(reset_w)
99
100 # create a latch/register for the operand
101 oper_r = Signal(InternalOp, reset_less=True) # opcode reg
102 latchregister(m, self.oper_i, oper_r, self.issue_i)
103
104 # and one for the output from the ALU
105 data_r = Signal(self.rwid, reset_less=True) # Dest register
106 latchregister(m, self.alu.o, data_r, req_l.q)
107
108 # pass the operation to the ALU
109 m.d.comb += self.alu.op.eq(oper_r)
110
111 # select immediate if opcode says so. however also change the latch
112 # to trigger *from* the opcode latch instead.
113 src2_or_imm = Signal(self.rwid, reset_less=True)
114 src_sel = Signal(reset_less=True)
115 m.d.comb += src_sel.eq(Mux(self.imm.ok, opc_l.qn, src_l.q))
116 m.d.comb += src2_or_imm.eq(Mux(op_is_imm, self.imm_i.data, self.src2_i))
117
118 # create a latch/register for src1/src2
119 latchregister(m, self.src1_i, self.alu.a, src_l.q)
120 latchregister(m, src2_or_imm, self.alu.b, src_sel)
121
122 # -----
123 # outputs
124 # -----
125
126 # all request signals gated by busy_o. prevents picker problems
127 busy_o = self.busy_o
128 m.d.comb += busy_o.eq(opc_l.q) # busy out
129 m.d.comb += self.rd_rel_o.eq(src_l.q & busy_o) # src1/src2 req rel
130
131 # on a go_read, tell the ALU we're accepting data.
132 # NOTE: this spells TROUBLE if the ALU isn't ready!
133 # go_read is only valid for one clock!
134 with m.If(self.go_rd_i): # src operands ready, GO!
135 with m.If(~self.alu.p_ready_o): # no ACK yet
136 m.d.comb += self.alu.p_valid_i.eq(1) # so indicate valid
137
138 # only proceed if ALU says its output is valid
139 with m.If(self.alu.n_valid_o):
140 # when ALU ready, write req release out. waits for shadow
141 m.d.comb += self.req_rel_o.eq(req_l.q & busy_o & self.shadown_i)
142 # when output latch is ready, and ALU says ready, accept ALU output
143 with m.If(self.req_rel_o):
144 m.d.comb += self.alu.n_ready_i.eq(1) # tells ALU "thanks got it"
145
146 # output the data from the latch on go_write
147 with m.If(self.go_wr_i):
148 m.d.comb += self.data_o.eq(data_r)
149
150 return m
151
152 def __iter__(self):
153 yield self.go_rd_i
154 yield self.go_wr_i
155 yield self.issue_i
156 yield self.shadown_i
157 yield self.go_die_i
158 yield self.oper_i
159 yield from self.imm_i.ports()
160 yield self.src1_i
161 yield self.src2_i
162 yield self.busy_o
163 yield self.rd_rel_o
164 yield self.req_rel_o
165 yield self.data_o
166
167 def ports(self):
168 return list(self)
169
170
171 def scoreboard_sim(dut):
172 yield dut.dest_i.eq(1)
173 yield dut.issue_i.eq(1)
174 yield
175 yield dut.issue_i.eq(0)
176 yield
177 yield dut.src1_i.eq(1)
178 yield dut.issue_i.eq(1)
179 yield
180 yield
181 yield
182 yield dut.issue_i.eq(0)
183 yield
184 yield dut.go_read_i.eq(1)
185 yield
186 yield dut.go_read_i.eq(0)
187 yield
188 yield dut.go_write_i.eq(1)
189 yield
190 yield dut.go_write_i.eq(0)
191 yield
192
193 def test_scoreboard():
194 from alu_hier import ALU
195 from soc.decoder.power_decoder2 import Decode2ToExecute1Type
196
197 e = Decode2ToExecute1Type()
198 alu = ALU(16)
199 dut = ComputationUnitNoDelay(16, e, alu)
200 vl = rtlil.convert(dut, ports=dut.ports())
201 with open("test_compalu.il", "w") as f:
202 f.write(vl)
203
204 run_simulation(dut, scoreboard_sim(dut), vcd_name='test_compalu.vcd')
205
206 if __name__ == '__main__':
207 test_scoreboard()