send address to memory only for one cycle and acknowledge LD immediately
[soc.git] / src / soc / experiment / l0_cache.py
1 """L0 Cache/Buffer
2
3 This first version is intended for prototyping and test purposes:
4 it has "direct" access to Memory.
5
6 The intention is that this version remains an integral part of the
7 test infrastructure, and, just as with minerva's memory arrangement,
8 a dynamic runtime config *selects* alternative memory arrangements
9 rather than *replaces and discards* this code.
10
11 Links:
12
13 * https://bugs.libre-soc.org/show_bug.cgi?id=216
14 * https://libre-soc.org/3d_gpu/architecture/memory_and_cache/
15
16 """
17
18 from nmigen.compat.sim import run_simulation
19 from nmigen.cli import verilog, rtlil
20 from nmigen import Module, Signal, Mux, Elaboratable, Array, Cat
21 from nmutil.iocontrol import RecordObject
22 from nmigen.utils import log2_int
23
24 from nmutil.latch import SRLatch, latchregister
25 from soc.decoder.power_decoder2 import Data
26 from soc.decoder.power_enums import InternalOp
27
28 from soc.experiment.compldst import CompLDSTOpSubset
29 from soc.decoder.power_decoder2 import Data
30 #from nmutil.picker import PriorityPicker
31 from nmigen.lib.coding import PriorityEncoder
32
33 # for testing purposes
34 from soc.experiment.testmem import TestMemory
35
36
37 class PortInterface(RecordObject):
38 """PortInterface
39
40 defines the interface - the API - that the LDSTCompUnit connects
41 to. note that this is NOT a "fire-and-forget" interface. the
42 LDSTCompUnit *must* be kept appraised that the request is in
43 progress, and only when it has a 100% successful completion rate
44 can the notification be given (busy dropped).
45
46 The interface FSM rules are as follows:
47
48 * if busy_o is asserted, a LD/ST is in progress. further
49 requests may not be made until busy_o is deasserted.
50
51 * only one of is_ld_i or is_st_i may be asserted. busy_o
52 will immediately be asserted and remain asserted.
53
54 * addr.ok is to be asserted when the LD/ST address is known.
55 addr.data is to be valid on the same cycle.
56
57 addr.ok and addr.data must REMAIN asserted until busy_o
58 is de-asserted. this ensures that there is no need
59 for the L0 Cache/Buffer to have an additional address latch
60 (because the LDSTCompUnit already has it)
61
62 * addr_ok_o (or addr_exc_o) must be waited for. these will
63 be asserted *only* for one cycle and one cycle only.
64
65 * addr_exc_o will be asserted if there is no chance that the
66 memory request may be fulfilled.
67
68 busy_o is deasserted on the same cycle as addr_exc_o is asserted.
69
70 * conversely: addr_ok_o must *ONLY* be asserted if there is a
71 HUNDRED PERCENT guarantee that the memory request will be
72 fulfilled.
73
74 * for a LD, ld.ok will be asserted - for only one clock cycle -
75 at any point in the future that is acceptable to the underlying
76 Memory subsystem. the recipient MUST latch ld.data on that cycle.
77
78 busy_o is deasserted on the same cycle as ld.ok is asserted.
79
80 * for a ST, st.ok may be asserted only after addr_ok_o had been
81 asserted, alongside valid st.data at the same time. st.ok
82 must only be asserted for one cycle.
83
84 the underlying Memory is REQUIRED to pick up that data and
85 guarantee its delivery. no back-acknowledgement is required.
86
87 busy_o is deasserted on the cycle AFTER st.ok is asserted.
88 """
89
90 def __init__(self, name=None, regwid=64, addrwid=48):
91
92 self._regwid = regwid
93 self._addrwid = addrwid
94
95 RecordObject.__init__(self, name=name)
96
97 # distinguish op type (ld/st)
98 self.is_ld_i = Signal(reset_less=True)
99 self.is_st_i = Signal(reset_less=True)
100 self.op = CompLDSTOpSubset() # hm insn_type ld/st duplicates here
101
102 # common signals
103 self.busy_o = Signal(reset_less=True) # do not use if busy
104 self.go_die_i = Signal(reset_less=True) # back to reset
105 self.addr = Data(addrwid, "addr_i") # addr/addr-ok
106 self.addr_ok_o = Signal(reset_less=True) # addr is valid (TLB, L1 etc.)
107 self.addr_exc_o = Signal(reset_less=True) # TODO, "type" of exception
108
109 # LD/ST
110 self.ld = Data(regwid, "ld_data_o") # ok to be set by L0 Cache/Buf
111 self.st = Data(regwid, "st_data_i") # ok to be set by CompUnit
112
113 # TODO:
114 class DualPortSplitter(Elaboratable):
115 """DualPortSplitter
116
117 * one incoming PortInterface
118 * two *OUTGOING* PortInterfaces
119 * uses LDSTSplitter to do it
120
121 (actually, thinking about it LDSTSplitter could simply be
122 modified to conform to PortInterface: one in, two out)
123
124 once that is done each pair of ports may be wired directly
125 to the dual ports of L0CacheBuffer
126 """
127 pass
128
129
130 class LDSTPort(Elaboratable):
131 def __init__(self, idx, regwid=64, addrwid=48):
132 self.pi = PortInterface("ldst_port%d" % idx, regwid, addrwid)
133
134 def elaborate(self, platform):
135 m = Module()
136 comb, sync = m.d.comb, m.d.sync
137
138 # latches
139 m.submodules.busy_l = busy_l = SRLatch(False, name="busy")
140 m.submodules.cyc_l = cyc_l = SRLatch(True, name="cyc")
141 comb += cyc_l.s.eq(0)
142 comb += cyc_l.r.eq(0)
143
144 # this is a little weird: we let the L0Cache/Buffer set
145 # the outputs: this module just monitors "state".
146
147 # LD/ST requested activates "busy"
148 with m.If(self.pi.is_ld_i | self.pi.is_st_i):
149 comb += busy_l.s.eq(1)
150
151 # monitor for an exception or the completion of LD.
152 with m.If(self.pi.addr_exc_o):
153 comb += busy_l.r.eq(1)
154
155 # however ST needs one cycle before busy is reset
156 with m.If(self.pi.st.ok | self.pi.ld.ok):
157 comb += cyc_l.s.eq(1)
158
159 with m.If(cyc_l.q):
160 comb += cyc_l.r.eq(1)
161 comb += busy_l.r.eq(1)
162
163 # busy latch outputs to interface
164 comb += self.pi.busy_o.eq(busy_l.q)
165
166 return m
167
168 def __iter__(self):
169 yield self.pi.is_ld_i
170 yield self.pi.is_st_i
171 yield from self.pi.op.ports()
172 yield self.pi.busy_o
173 yield self.pi.go_die_i
174 yield from self.pi.addr.ports()
175 yield self.pi.addr_ok_o
176 yield self.pi.addr_exc_o
177
178 yield from self.pi.ld.ports()
179 yield from self.pi.st.ports()
180
181 def ports(self):
182 return list(self)
183
184
185 class L0CacheBuffer(Elaboratable):
186 """L0 Cache / Buffer
187
188 Note that the final version will have *two* interfaces per LDSTCompUnit,
189 to cover mis-aligned requests, as well as *two* 128-bit L1 Cache
190 interfaces: one for odd (addr[4] == 1) and one for even (addr[4] == 1).
191
192 This version is to be used for test purposes (and actively maintained
193 for such, rather than "replaced")
194
195 There are much better ways to implement this. However it's only
196 a "demo" / "test" class, and one important aspect: it responds
197 combinatorially, where a nmigen FSM's state-changes only activate
198 on clock-sync boundaries.
199 """
200 def __init__(self, n_units, mem, regwid=64, addrwid=48):
201 self.n_units = n_units
202 self.mem = mem
203 ul = []
204 for i in range(n_units):
205 ul.append(LDSTPort(i, regwid, addrwid))
206 self.dports = Array(ul)
207
208 def elaborate(self, platform):
209 m = Module()
210 comb, sync = m.d.comb, m.d.sync
211
212 # connect the ports as modules
213 for i in range(self.n_units):
214 setattr(m.submodules, "port%d" % i, self.dports[i])
215
216 # state-machine latches
217 m.submodules.st_active = st_active = SRLatch(False, name="st_active")
218 m.submodules.ld_active = ld_active = SRLatch(False, name="ld_active")
219 m.submodules.reset_l = reset_l = SRLatch(True, name="reset")
220 m.submodules.idx_l = idx_l = SRLatch(False, name="idx_l")
221 m.submodules.adrok_l = adrok_l = SRLatch(False, name="addr_acked")
222
223 # find one LD (or ST) and do it. only one per cycle.
224 # TODO: in the "live" (production) L0Cache/Buffer, merge multiple
225 # LD/STs using mask-expansion - see LenExpand class
226
227 m.submodules.ldpick = ldpick = PriorityEncoder(self.n_units)
228 m.submodules.stpick = stpick = PriorityEncoder(self.n_units)
229
230 lds = Signal(self.n_units, reset_less=True)
231 sts = Signal(self.n_units, reset_less=True)
232 ldi = []
233 sti = []
234 for i in range(self.n_units):
235 pi = self.dports[i].pi
236 ldi.append(pi.is_ld_i & pi.busy_o) # accumulate ld-req signals
237 sti.append(pi.is_st_i & pi.busy_o) # accumulate st-req signals
238 # put the requests into the priority-pickers
239 comb += ldpick.i.eq(Cat(*ldi))
240 comb += stpick.i.eq(Cat(*sti))
241
242 # hmm, have to select (record) the right port index
243 nbits = log2_int(self.n_units, False)
244 ld_idx = Signal(nbits, reset_less=False)
245 st_idx = Signal(nbits, reset_less=False)
246 # use these because of the sync-and-comb pass-through capability
247 latchregister(m, ldpick.o, ld_idx, idx_l.qn, name="ld_idx")
248 latchregister(m, stpick.o, st_idx, idx_l.qn, name="st_idx")
249
250 # convenience variables to reference the "picked" port
251 ldport = self.dports[ld_idx].pi
252 stport = self.dports[st_idx].pi
253 # and the memory ports
254 rdport = self.mem.rdport
255 wrport = self.mem.wrport
256
257 # Priority-Pickers pick one and only one request, capture its index.
258 # from that point on this code *only* "listens" to that port.
259
260 sync += adrok_l.s.eq(0)
261 comb += adrok_l.r.eq(0)
262 with m.If(~ldpick.n):
263 comb += ld_active.s.eq(1) # activate LD mode
264 comb += idx_l.r.eq(1) # pick (and capture) the port index
265 with m.Elif(~stpick.n):
266 comb += st_active.s.eq(1) # activate ST mode
267 comb += idx_l.r.eq(1) # pick (and capture) the port index
268
269 # from this point onwards, with the port "picked", it stays picked
270 # until ld_active (or st_active) are de-asserted.
271
272 # if now in "LD" mode: wait for addr_ok, then send the address out
273 # to memory, acknowledge address, and send out LD data
274 with m.If(ld_active.q):
275 with m.If(ldport.addr.ok & adrok_l.qn):
276 comb += rdport.addr.eq(ldport.addr.data) # addr ok, send thru
277 comb += ldport.addr_ok_o.eq(1) # acknowledge addr ok
278 sync += adrok_l.s.eq(1) # and pull "ack" latch
279
280 # if now in "ST" mode: likewise do the same but with "ST"
281 # to memory, acknowledge address, and send out LD data
282 with m.If(st_active.q):
283 with m.If(stport.addr.ok):
284 comb += wrport.addr.eq(stport.addr.data) # addr ok, send thru
285 with m.If(adrok_l.qn):
286 comb += stport.addr_ok_o.eq(1) # acknowledge addr ok
287 sync += adrok_l.s.eq(1) # and pull "ack" latch
288
289 # NOTE: in both these, below, the port itself takes care
290 # of de-asserting its "busy_o" signal, based on either ld.ok going
291 # high (by us, here) or by st.ok going high (by the LDSTCompUnit).
292
293 # for LD mode, when addr has been "ok'd", assume that (because this
294 # is a "Memory" test-class) the memory read data is valid.
295 comb += reset_l.s.eq(0)
296 comb += reset_l.r.eq(0)
297 with m.If(ld_active.q & adrok_l.q):
298 comb += ldport.ld.data.eq(rdport.data) # put data out
299 comb += ldport.ld.ok.eq(1) # indicate data valid
300 comb += reset_l.s.eq(1) # reset mode after 1 cycle
301
302 # for ST mode, when addr has been "ok'd", wait for incoming "ST ok"
303 with m.If(st_active.q & stport.st.ok):
304 comb += wrport.data.eq(stport.st.data) # write st to mem
305 comb += wrport.en.eq(1) # enable write
306 comb += reset_l.s.eq(1) # reset mode after 1 cycle
307
308 # after waiting one cycle (reset_l is "sync" mode), reset the port
309 with m.If(reset_l.q):
310 comb += idx_l.s.eq(1) # deactivate port-index selector
311 comb += ld_active.r.eq(1) # leave the ST active for 1 cycle
312 comb += st_active.r.eq(1) # leave the ST active for 1 cycle
313 comb += reset_l.r.eq(1) # clear reset
314 comb += adrok_l.r.eq(1) # address reset
315
316 return m
317
318 def ports(self):
319 for p in self.dports:
320 yield from p.ports()
321
322
323 class TstL0CacheBuffer(Elaboratable):
324 def __init__(self, n_units=3, regwid=16, addrwid=4):
325 self.mem = TestMemory(regwid, addrwid)
326 self.l0 = L0CacheBuffer(n_units, self.mem, regwid, addrwid)
327
328 def elaborate(self, platform):
329 m = Module()
330 m.submodules.mem = self.mem
331 m.submodules.l0 = self.l0
332
333 return m
334
335 def ports(self):
336 yield from self.l0.ports()
337 yield self.mem.rdport.addr
338 yield self.mem.rdport.data
339 yield self.mem.wrport.addr
340 yield self.mem.wrport.data
341 # TODO: mem ports
342
343
344 def wait_busy(port, no=False):
345 while True:
346 busy = yield port.pi.busy_o
347 print ("busy", no, busy)
348 if bool(busy) == no:
349 break
350 yield
351
352
353 def wait_addr(port):
354 while True:
355 addr_ok = yield port.pi.addr_ok_o
356 print ("addrok", addr_ok)
357 if not addr_ok:
358 break
359 yield
360
361
362 def wait_ldok(port):
363 while True:
364 ldok = yield port.pi.ld.ok
365 print ("ldok", ldok)
366 if ldok:
367 break
368 yield
369
370
371 def l0_cache_st(dut, addr, data):
372 l0 = dut.l0
373 mem = dut.mem
374 port0 = l0.dports[0]
375 port1 = l0.dports[1]
376
377 # have to wait until not busy
378 yield from wait_busy(port1, no=False) # wait until not busy
379
380 # set up a ST on the port. address first:
381 yield port1.pi.is_st_i.eq(1) # indicate LD
382
383 yield port1.pi.addr.data.eq(addr) # set address
384 yield port1.pi.addr.ok.eq(1) # set ok
385 yield from wait_addr(port1) # wait until addr ok
386 #yield # not needed, just for checking
387 #yield # not needed, just for checking
388 # assert "ST" for one cycle (required by the API)
389 yield port1.pi.st.data.eq(data)
390 yield port1.pi.st.ok.eq(1)
391 yield
392 yield port1.pi.st.ok.eq(0)
393
394 # can go straight to reset.
395 yield port1.pi.is_st_i.eq(0) #end
396 yield port1.pi.addr.ok.eq(0) # set !ok
397 #yield from wait_busy(port1, False) # wait until not busy
398
399
400 def l0_cache_ld(dut, addr, expected):
401
402 l0 = dut.l0
403 mem = dut.mem
404 port0 = l0.dports[0]
405 port1 = l0.dports[1]
406
407 # have to wait until not busy
408 yield from wait_busy(port1, no=False) # wait until not busy
409
410 # set up a LD on the port. address first:
411 yield port1.pi.is_ld_i.eq(1) # indicate LD
412
413 yield port1.pi.addr.data.eq(addr) # set address
414 yield port1.pi.addr.ok.eq(1) # set ok
415 yield from wait_addr(port1) # wait until addr ok
416
417 yield from wait_ldok(port1) # wait until ld ok
418 data = yield port1.pi.ld.data
419
420 # cleanup
421 yield port1.pi.is_ld_i.eq(0) #end
422 yield port1.pi.addr.ok.eq(0) # set !ok
423 #yield from wait_busy(port1, no=False) # wait until not busy
424
425 return data
426
427
428 def l0_cache_ldst(dut):
429 yield
430 addr = 0x2
431 data = 0xbeef
432 data2 = 0xf00f
433 #data = 0x4
434 yield from l0_cache_st(dut, 0x2, data)
435 yield from l0_cache_st(dut, 0x3, data2)
436 result = yield from l0_cache_ld(dut, 0x2, data)
437 result2 = yield from l0_cache_ld(dut, 0x3, data2)
438 yield
439 assert data == result, "data %x != %x" % (result, data)
440 assert data2 == result2, "data2 %x != %x" % (result2, data2)
441
442
443 def test_l0_cache():
444
445 dut = TstL0CacheBuffer()
446 vl = rtlil.convert(dut, ports=dut.ports())
447 with open("test_basic_l0_cache.il", "w") as f:
448 f.write(vl)
449
450 run_simulation(dut, l0_cache_ldst(dut),
451 vcd_name='test_l0_cache_basic.vcd')
452
453
454 if __name__ == '__main__':
455 test_l0_cache()