adding an extra option to issuer_verilog.py to be able to cteate a
[soc.git] / src / soc / simple / issuer.py
1 """simple core issuer
2
3 not in any way intended for production use. this runs a FSM that:
4
5 * reads the Program Counter from StateRegs
6 * reads an instruction from a fixed-size Test Memory
7 * issues it to the Simple Core
8 * waits for it to complete
9 * increments the PC
10 * does it all over again
11
12 the purpose of this module is to verify the functional correctness
13 of the Function Units in the absolute simplest and clearest possible
14 way, and to at provide something that can be further incrementally
15 improved.
16 """
17
18 from nmigen import (Elaboratable, Module, Signal, ClockSignal, ResetSignal,
19 ClockDomain, DomainRenamer, Mux, Const, Repl, Cat)
20 from nmigen.cli import rtlil
21 from nmigen.cli import main
22 import sys
23
24 from nmutil.singlepipe import ControlBase
25 from soc.simple.core_data import FetchOutput, FetchInput
26
27 from nmigen.lib.coding import PriorityEncoder
28
29 from openpower.decoder.power_decoder import create_pdecode
30 from openpower.decoder.power_decoder2 import PowerDecode2, SVP64PrefixDecoder
31 from openpower.decoder.decode2execute1 import IssuerDecode2ToOperand
32 from openpower.decoder.decode2execute1 import Data
33 from openpower.decoder.power_enums import (MicrOp, SVP64PredInt, SVP64PredCR,
34 SVP64PredMode)
35 from openpower.state import CoreState
36 from openpower.consts import (CR, SVP64CROffs, MSR)
37 from soc.experiment.testmem import TestMemory # test only for instructions
38 from soc.regfile.regfiles import StateRegs, FastRegs
39 from soc.simple.core import NonProductionCore
40 from soc.config.test.test_loadstore import TestMemPspec
41 from soc.config.ifetch import ConfigFetchUnit
42 from soc.debug.dmi import CoreDebug, DMIInterface
43 from soc.debug.jtag import JTAG
44 from soc.config.pinouts import get_pinspecs
45 from soc.interrupts.xics import XICS_ICP, XICS_ICS
46 from soc.bus.simple_gpio import SimpleGPIO
47 from soc.bus.SPBlock512W64B8W import SPBlock512W64B8W
48 from soc.clock.select import ClockSelect
49 from soc.clock.dummypll import DummyPLL
50 from openpower.sv.svstate import SVSTATERec
51 from soc.experiment.icache import ICache
52
53 from nmutil.util import rising_edge
54
55
56 def get_insn(f_instr_o, pc):
57 if f_instr_o.width == 32:
58 return f_instr_o
59 else:
60 # 64-bit: bit 2 of pc decides which word to select
61 return f_instr_o.word_select(pc[2], 32)
62
63 # gets state input or reads from state regfile
64
65
66 def state_get(m, res, core_rst, state_i, name, regfile, regnum):
67 comb = m.d.comb
68 sync = m.d.sync
69 # read the {insert state variable here}
70 res_ok_delay = Signal(name="%s_ok_delay" % name)
71 with m.If(~core_rst):
72 sync += res_ok_delay.eq(~state_i.ok)
73 with m.If(state_i.ok):
74 # incoming override (start from pc_i)
75 comb += res.eq(state_i.data)
76 with m.Else():
77 # otherwise read StateRegs regfile for {insert state here}...
78 comb += regfile.ren.eq(1 << regnum)
79 # ... but on a 1-clock delay
80 with m.If(res_ok_delay):
81 comb += res.eq(regfile.o_data)
82
83
84 def get_predint(m, mask, name):
85 """decode SVP64 predicate integer mask field to reg number and invert
86 this is identical to the equivalent function in ISACaller except that
87 it doesn't read the INT directly, it just decodes "what needs to be done"
88 i.e. which INT reg, whether it is shifted and whether it is bit-inverted.
89
90 * all1s is set to indicate that no mask is to be applied.
91 * regread indicates the GPR register number to be read
92 * invert is set to indicate that the register value is to be inverted
93 * unary indicates that the contents of the register is to be shifted 1<<r3
94 """
95 comb = m.d.comb
96 regread = Signal(5, name=name+"regread")
97 invert = Signal(name=name+"invert")
98 unary = Signal(name=name+"unary")
99 all1s = Signal(name=name+"all1s")
100 with m.Switch(mask):
101 with m.Case(SVP64PredInt.ALWAYS.value):
102 comb += all1s.eq(1) # use 0b1111 (all ones)
103 with m.Case(SVP64PredInt.R3_UNARY.value):
104 comb += regread.eq(3)
105 comb += unary.eq(1) # 1<<r3 - shift r3 (single bit)
106 with m.Case(SVP64PredInt.R3.value):
107 comb += regread.eq(3)
108 with m.Case(SVP64PredInt.R3_N.value):
109 comb += regread.eq(3)
110 comb += invert.eq(1)
111 with m.Case(SVP64PredInt.R10.value):
112 comb += regread.eq(10)
113 with m.Case(SVP64PredInt.R10_N.value):
114 comb += regread.eq(10)
115 comb += invert.eq(1)
116 with m.Case(SVP64PredInt.R30.value):
117 comb += regread.eq(30)
118 with m.Case(SVP64PredInt.R30_N.value):
119 comb += regread.eq(30)
120 comb += invert.eq(1)
121 return regread, invert, unary, all1s
122
123
124 def get_predcr(m, mask, name):
125 """decode SVP64 predicate CR to reg number field and invert status
126 this is identical to _get_predcr in ISACaller
127 """
128 comb = m.d.comb
129 idx = Signal(2, name=name+"idx")
130 invert = Signal(name=name+"crinvert")
131 with m.Switch(mask):
132 with m.Case(SVP64PredCR.LT.value):
133 comb += idx.eq(CR.LT)
134 comb += invert.eq(0)
135 with m.Case(SVP64PredCR.GE.value):
136 comb += idx.eq(CR.LT)
137 comb += invert.eq(1)
138 with m.Case(SVP64PredCR.GT.value):
139 comb += idx.eq(CR.GT)
140 comb += invert.eq(0)
141 with m.Case(SVP64PredCR.LE.value):
142 comb += idx.eq(CR.GT)
143 comb += invert.eq(1)
144 with m.Case(SVP64PredCR.EQ.value):
145 comb += idx.eq(CR.EQ)
146 comb += invert.eq(0)
147 with m.Case(SVP64PredCR.NE.value):
148 comb += idx.eq(CR.EQ)
149 comb += invert.eq(1)
150 with m.Case(SVP64PredCR.SO.value):
151 comb += idx.eq(CR.SO)
152 comb += invert.eq(0)
153 with m.Case(SVP64PredCR.NS.value):
154 comb += idx.eq(CR.SO)
155 comb += invert.eq(1)
156 return idx, invert
157
158
159 class TestIssuerBase(Elaboratable):
160 """TestIssuerBase - common base class for Issuers
161
162 takes care of power-on reset, peripherals, debug, DEC/TB,
163 and gets PC/MSR/SVSTATE from the State Regfile etc.
164 """
165
166 def __init__(self, pspec):
167
168 # test if microwatt compatibility is to be enabled
169 self.microwatt_compat = (hasattr(pspec, "microwatt_compat") and
170 (pspec.microwatt_compat == True))
171 self.alt_reset = Signal(reset_less=True) # not connected yet (microwatt)
172
173 # test is SVP64 is to be enabled
174 self.svp64_en = hasattr(pspec, "svp64") and (pspec.svp64 == True)
175
176 # and if regfiles are reduced
177 self.regreduce_en = (hasattr(pspec, "regreduce") and
178 (pspec.regreduce == True))
179
180 # and if overlap requested
181 self.allow_overlap = (hasattr(pspec, "allow_overlap") and
182 (pspec.allow_overlap == True))
183
184 # and get the core domain
185 self.core_domain = "coresync"
186 if (hasattr(pspec, "core_domain") and
187 isinstance(pspec.core_domain, str)):
188 self.core_domain = pspec.core_domain
189
190 # JTAG interface. add this right at the start because if it's
191 # added it *modifies* the pspec, by adding enable/disable signals
192 # for parts of the rest of the core
193 self.jtag_en = hasattr(pspec, "debug") and pspec.debug == 'jtag'
194 #self.dbg_domain = "sync" # sigh "dbgsunc" too problematic
195 self.dbg_domain = "dbgsync" # domain for DMI/JTAG clock
196 if self.jtag_en:
197 # XXX MUST keep this up-to-date with litex, and
198 # soc-cocotb-sim, and err.. all needs sorting out, argh
199 subset = ['uart',
200 'mtwi',
201 'eint', 'gpio', 'mspi0',
202 # 'mspi1', - disabled for now
203 # 'pwm', 'sd0', - disabled for now
204 'sdr']
205 self.jtag = JTAG(get_pinspecs(subset=subset),
206 domain=self.dbg_domain)
207 # add signals to pspec to enable/disable icache and dcache
208 # (or data and intstruction wishbone if icache/dcache not included)
209 # https://bugs.libre-soc.org/show_bug.cgi?id=520
210 # TODO: do we actually care if these are not domain-synchronised?
211 # honestly probably not.
212 pspec.wb_icache_en = self.jtag.wb_icache_en
213 pspec.wb_dcache_en = self.jtag.wb_dcache_en
214 self.wb_sram_en = self.jtag.wb_sram_en
215 else:
216 self.wb_sram_en = Const(1)
217
218 # add 4k sram blocks?
219 self.sram4x4k = (hasattr(pspec, "sram4x4kblock") and
220 pspec.sram4x4kblock == True)
221 if self.sram4x4k:
222 self.sram4k = []
223 for i in range(4):
224 self.sram4k.append(SPBlock512W64B8W(name="sram4k_%d" % i,
225 # features={'err'}
226 ))
227
228 # add interrupt controller?
229 self.xics = hasattr(pspec, "xics") and pspec.xics == True
230 if self.xics:
231 self.xics_icp = XICS_ICP()
232 self.xics_ics = XICS_ICS()
233 self.int_level_i = self.xics_ics.int_level_i
234 else:
235 self.ext_irq = Signal()
236
237 # add GPIO peripheral?
238 self.gpio = hasattr(pspec, "gpio") and pspec.gpio == True
239 if self.gpio:
240 self.simple_gpio = SimpleGPIO()
241 self.gpio_o = self.simple_gpio.gpio_o
242
243 # main instruction core. suitable for prototyping / demo only
244 self.core = core = NonProductionCore(pspec)
245 self.core_rst = ResetSignal(self.core_domain)
246
247 # instruction decoder. goes into Trap Record
248 #pdecode = create_pdecode()
249 self.cur_state = CoreState("cur") # current state (MSR/PC/SVSTATE)
250 self.pdecode2 = PowerDecode2(None, state=self.cur_state,
251 opkls=IssuerDecode2ToOperand,
252 svp64_en=self.svp64_en,
253 regreduce_en=self.regreduce_en)
254 pdecode = self.pdecode2.dec
255
256 if self.svp64_en:
257 self.svp64 = SVP64PrefixDecoder() # for decoding SVP64 prefix
258
259 self.update_svstate = Signal() # set this if updating svstate
260 self.new_svstate = new_svstate = SVSTATERec("new_svstate")
261
262 # Test Instruction memory
263 if hasattr(core, "icache"):
264 # XXX BLECH! use pspec to transfer the I-Cache to ConfigFetchUnit
265 # truly dreadful. needs a huge reorg.
266 pspec.icache = core.icache
267 self.imem = ConfigFetchUnit(pspec).fu
268
269 # DMI interface
270 self.dbg = CoreDebug()
271 self.dbg_rst_i = Signal(reset_less=True)
272
273 # instruction go/monitor
274 self.pc_o = Signal(64, reset_less=True)
275 self.pc_i = Data(64, "pc_i") # set "ok" to indicate "please change me"
276 self.msr_i = Data(64, "msr_i") # set "ok" to indicate "please change me"
277 self.svstate_i = Data(64, "svstate_i") # ditto
278 self.core_bigendian_i = Signal() # TODO: set based on MSR.LE
279 self.busy_o = Signal(reset_less=True)
280 self.memerr_o = Signal(reset_less=True)
281
282 # STATE regfile read /write ports for PC, MSR, SVSTATE
283 staterf = self.core.regs.rf['state']
284 self.state_r_msr = staterf.r_ports['msr'] # MSR rd
285 self.state_r_pc = staterf.r_ports['cia'] # PC rd
286 self.state_r_sv = staterf.r_ports['sv'] # SVSTATE rd
287
288 self.state_w_msr = staterf.w_ports['msr'] # MSR wr
289 self.state_w_pc = staterf.w_ports['d_wr1'] # PC wr
290 self.state_w_sv = staterf.w_ports['sv'] # SVSTATE wr
291
292 # DMI interface access
293 intrf = self.core.regs.rf['int']
294 crrf = self.core.regs.rf['cr']
295 xerrf = self.core.regs.rf['xer']
296 self.int_r = intrf.r_ports['dmi'] # INT read
297 self.cr_r = crrf.r_ports['full_cr_dbg'] # CR read
298 self.xer_r = xerrf.r_ports['full_xer'] # XER read
299
300 if self.svp64_en:
301 # for predication
302 self.int_pred = intrf.r_ports['pred'] # INT predicate read
303 self.cr_pred = crrf.r_ports['cr_pred'] # CR predicate read
304
305 # hack method of keeping an eye on whether branch/trap set the PC
306 self.state_nia = self.core.regs.rf['state'].w_ports['nia']
307 self.state_nia.wen.name = 'state_nia_wen'
308
309 # pulse to synchronize the simulator at instruction end
310 self.insn_done = Signal()
311
312 # indicate any instruction still outstanding, in execution
313 self.any_busy = Signal()
314
315 if self.svp64_en:
316 # store copies of predicate masks
317 self.srcmask = Signal(64)
318 self.dstmask = Signal(64)
319
320 def setup_peripherals(self, m):
321 comb, sync = m.d.comb, m.d.sync
322
323 # okaaaay so the debug module must be in coresync clock domain
324 # but NOT its reset signal. to cope with this, set every single
325 # submodule explicitly in coresync domain, debug and JTAG
326 # in their own one but using *external* reset.
327 csd = DomainRenamer(self.core_domain)
328 dbd = DomainRenamer(self.dbg_domain)
329
330 if self.microwatt_compat:
331 m.submodules.core = core = self.core
332 else:
333 m.submodules.core = core = csd(self.core)
334 # this _so_ needs sorting out. ICache is added down inside
335 # LoadStore1 and is already a submodule of LoadStore1
336 if not isinstance(self.imem, ICache):
337 m.submodules.imem = imem = csd(self.imem)
338 if self.microwatt_compat:
339 m.submodules.dbg = dbg = self.dbg
340 else:
341 m.submodules.dbg = dbg = dbd(self.dbg)
342 if self.jtag_en:
343 m.submodules.jtag = jtag = dbd(self.jtag)
344 # TODO: UART2GDB mux, here, from external pin
345 # see https://bugs.libre-soc.org/show_bug.cgi?id=499
346 sync += dbg.dmi.connect_to(jtag.dmi)
347
348 cur_state = self.cur_state
349
350 # 4x 4k SRAM blocks. these simply "exist", they get routed in litex
351 if self.sram4x4k:
352 for i, sram in enumerate(self.sram4k):
353 m.submodules["sram4k_%d" % i] = csd(sram)
354 comb += sram.enable.eq(self.wb_sram_en)
355
356 # XICS interrupt handler
357 if self.xics:
358 m.submodules.xics_icp = icp = csd(self.xics_icp)
359 m.submodules.xics_ics = ics = csd(self.xics_ics)
360 comb += icp.ics_i.eq(ics.icp_o) # connect ICS to ICP
361 sync += cur_state.eint.eq(icp.core_irq_o) # connect ICP to core
362 else:
363 sync += cur_state.eint.eq(self.ext_irq) # connect externally
364
365 # GPIO test peripheral
366 if self.gpio:
367 m.submodules.simple_gpio = simple_gpio = csd(self.simple_gpio)
368
369 # connect one GPIO output to ICS bit 15 (like in microwatt soc.vhdl)
370 # XXX causes litex ECP5 test to get wrong idea about input and output
371 # (but works with verilator sim *sigh*)
372 # if self.gpio and self.xics:
373 # comb += self.int_level_i[15].eq(simple_gpio.gpio_o[0])
374
375 # instruction decoder
376 pdecode = create_pdecode()
377 m.submodules.dec2 = pdecode2 = csd(self.pdecode2)
378 if self.svp64_en:
379 m.submodules.svp64 = svp64 = csd(self.svp64)
380
381 # convenience
382 dmi, d_reg, d_cr, d_xer, = dbg.dmi, dbg.d_gpr, dbg.d_cr, dbg.d_xer
383 intrf = self.core.regs.rf['int']
384
385 # clock delay power-on reset
386 cd_por = ClockDomain(reset_less=True)
387 cd_sync = ClockDomain()
388 m.domains += cd_por, cd_sync
389 core_sync = ClockDomain(self.core_domain)
390 if self.core_domain != "sync":
391 m.domains += core_sync
392 if self.dbg_domain != "sync":
393 dbg_sync = ClockDomain(self.dbg_domain)
394 m.domains += dbg_sync
395
396 ti_rst = Signal(reset_less=True)
397 delay = Signal(range(4), reset=3)
398 with m.If(delay != 0):
399 m.d.por += delay.eq(delay - 1)
400 comb += cd_por.clk.eq(ClockSignal())
401
402 # power-on reset delay
403 core_rst = ResetSignal(self.core_domain)
404 if self.core_domain != "sync":
405 comb += ti_rst.eq(delay != 0 | dbg.core_rst_o | ResetSignal())
406 comb += core_rst.eq(ti_rst)
407 else:
408 with m.If(delay != 0 | dbg.core_rst_o):
409 comb += core_rst.eq(1)
410
411 # connect external reset signal to DMI Reset
412 if self.dbg_domain != "sync":
413 dbg_rst = ResetSignal(self.dbg_domain)
414 comb += dbg_rst.eq(self.dbg_rst_i)
415
416 # busy/halted signals from core
417 core_busy_o = ~core.p.o_ready | core.n.o_data.busy_o # core is busy
418 comb += self.busy_o.eq(core_busy_o)
419 comb += pdecode2.dec.bigendian.eq(self.core_bigendian_i)
420
421 # temporary hack: says "go" immediately for both address gen and ST
422 l0 = core.l0
423 ldst = core.fus.fus['ldst0']
424 st_go_edge = rising_edge(m, ldst.st.rel_o)
425 # link addr-go direct to rel
426 m.d.comb += ldst.ad.go_i.eq(ldst.ad.rel_o)
427 m.d.comb += ldst.st.go_i.eq(st_go_edge) # link store-go to rising rel
428
429 def do_dmi(self, m, dbg):
430 """deals with DMI debug requests
431
432 currently only provides read requests for the INT regfile, CR and XER
433 it will later also deal with *writing* to these regfiles.
434 """
435 comb = m.d.comb
436 sync = m.d.sync
437 dmi, d_reg, d_cr, d_xer, = dbg.dmi, dbg.d_gpr, dbg.d_cr, dbg.d_xer
438 intrf = self.core.regs.rf['int']
439
440 with m.If(d_reg.req): # request for regfile access being made
441 # TODO: error-check this
442 # XXX should this be combinatorial? sync better?
443 if intrf.unary:
444 comb += self.int_r.ren.eq(1 << d_reg.addr)
445 else:
446 comb += self.int_r.addr.eq(d_reg.addr)
447 comb += self.int_r.ren.eq(1)
448 d_reg_delay = Signal()
449 sync += d_reg_delay.eq(d_reg.req)
450 with m.If(d_reg_delay):
451 # data arrives one clock later
452 comb += d_reg.data.eq(self.int_r.o_data)
453 comb += d_reg.ack.eq(1)
454
455 # sigh same thing for CR debug
456 with m.If(d_cr.req): # request for regfile access being made
457 comb += self.cr_r.ren.eq(0b11111111) # enable all
458 d_cr_delay = Signal()
459 sync += d_cr_delay.eq(d_cr.req)
460 with m.If(d_cr_delay):
461 # data arrives one clock later
462 comb += d_cr.data.eq(self.cr_r.o_data)
463 comb += d_cr.ack.eq(1)
464
465 # aaand XER...
466 with m.If(d_xer.req): # request for regfile access being made
467 comb += self.xer_r.ren.eq(0b111111) # enable all
468 d_xer_delay = Signal()
469 sync += d_xer_delay.eq(d_xer.req)
470 with m.If(d_xer_delay):
471 # data arrives one clock later
472 comb += d_xer.data.eq(self.xer_r.o_data)
473 comb += d_xer.ack.eq(1)
474
475 def tb_dec_fsm(self, m, spr_dec):
476 """tb_dec_fsm
477
478 this is a FSM for updating either dec or tb. it runs alternately
479 DEC, TB, DEC, TB. note that SPR pipeline could have written a new
480 value to DEC, however the regfile has "passthrough" on it so this
481 *should* be ok.
482
483 see v3.0B p1097-1099 for Timeer Resource and p1065 and p1076
484 """
485
486 comb, sync = m.d.comb, m.d.sync
487 fast_rf = self.core.regs.rf['fast']
488 fast_r_dectb = fast_rf.r_ports['issue'] # DEC/TB
489 fast_w_dectb = fast_rf.w_ports['issue'] # DEC/TB
490
491 with m.FSM() as fsm:
492
493 # initiates read of current DEC
494 with m.State("DEC_READ"):
495 comb += fast_r_dectb.addr.eq(FastRegs.DEC)
496 comb += fast_r_dectb.ren.eq(1)
497 m.next = "DEC_WRITE"
498
499 # waits for DEC read to arrive (1 cycle), updates with new value
500 with m.State("DEC_WRITE"):
501 new_dec = Signal(64)
502 # TODO: MSR.LPCR 32-bit decrement mode
503 comb += new_dec.eq(fast_r_dectb.o_data - 1)
504 comb += fast_w_dectb.addr.eq(FastRegs.DEC)
505 comb += fast_w_dectb.wen.eq(1)
506 comb += fast_w_dectb.i_data.eq(new_dec)
507 sync += spr_dec.eq(new_dec) # copy into cur_state for decoder
508 m.next = "TB_READ"
509
510 # initiates read of current TB
511 with m.State("TB_READ"):
512 comb += fast_r_dectb.addr.eq(FastRegs.TB)
513 comb += fast_r_dectb.ren.eq(1)
514 m.next = "TB_WRITE"
515
516 # waits for read TB to arrive, initiates write of current TB
517 with m.State("TB_WRITE"):
518 new_tb = Signal(64)
519 comb += new_tb.eq(fast_r_dectb.o_data + 1)
520 comb += fast_w_dectb.addr.eq(FastRegs.TB)
521 comb += fast_w_dectb.wen.eq(1)
522 comb += fast_w_dectb.i_data.eq(new_tb)
523 m.next = "DEC_READ"
524
525 return m
526
527 def elaborate(self, platform):
528 m = Module()
529 # convenience
530 comb, sync = m.d.comb, m.d.sync
531 cur_state = self.cur_state
532 pdecode2 = self.pdecode2
533 dbg = self.dbg
534
535 # set up peripherals and core
536 core_rst = self.core_rst
537 self.setup_peripherals(m)
538
539 # reset current state if core reset requested
540 with m.If(core_rst):
541 m.d.sync += self.cur_state.eq(0)
542
543 # check halted condition: requested PC to execute matches DMI stop addr
544 # and immediately stop. address of 0xffff_ffff_ffff_ffff can never
545 # match
546 halted = Signal()
547 comb += halted.eq(dbg.stop_addr_o == dbg.state.pc)
548 with m.If(halted):
549 comb += dbg.core_stopped_i.eq(1)
550 comb += dbg.terminate_i.eq(1)
551
552 # PC and instruction from I-Memory
553 comb += self.pc_o.eq(cur_state.pc)
554 self.pc_changed = Signal() # note write to PC
555 self.msr_changed = Signal() # note write to MSR
556 self.sv_changed = Signal() # note write to SVSTATE
557
558 # read state either from incoming override or from regfile
559 state = CoreState("get") # current state (MSR/PC/SVSTATE)
560 state_get(m, state.msr, core_rst, self.msr_i,
561 "msr", # read MSR
562 self.state_r_msr, StateRegs.MSR)
563 state_get(m, state.pc, core_rst, self.pc_i,
564 "pc", # read PC
565 self.state_r_pc, StateRegs.PC)
566 state_get(m, state.svstate, core_rst, self.svstate_i,
567 "svstate", # read SVSTATE
568 self.state_r_sv, StateRegs.SVSTATE)
569
570 # don't write pc every cycle
571 comb += self.state_w_pc.wen.eq(0)
572 comb += self.state_w_pc.i_data.eq(0)
573
574 # connect up debug state. note "combinatorially same" below,
575 # this is a bit naff, passing state over in the dbg class, but
576 # because it is combinatorial it achieves the desired goal
577 comb += dbg.state.eq(state)
578
579 # this bit doesn't have to be in the FSM: connect up to read
580 # regfiles on demand from DMI
581 self.do_dmi(m, dbg)
582
583 # DEC and TB inc/dec FSM. copy of DEC is put into CoreState,
584 # (which uses that in PowerDecoder2 to raise 0x900 exception)
585 self.tb_dec_fsm(m, cur_state.dec)
586
587 # while stopped, allow updating the MSR, PC and SVSTATE.
588 # these are mainly for debugging purposes (including DMI/JTAG)
589 with m.If(dbg.core_stopped_i):
590 with m.If(self.pc_i.ok):
591 comb += self.state_w_pc.wen.eq(1 << StateRegs.PC)
592 comb += self.state_w_pc.i_data.eq(self.pc_i.data)
593 sync += self.pc_changed.eq(1)
594 with m.If(self.msr_i.ok):
595 comb += self.state_w_msr.wen.eq(1 << StateRegs.MSR)
596 comb += self.state_w_msr.i_data.eq(self.msr_i.data)
597 sync += self.msr_changed.eq(1)
598 with m.If(self.svstate_i.ok | self.update_svstate):
599 with m.If(self.svstate_i.ok): # over-ride from external source
600 comb += self.new_svstate.eq(self.svstate_i.data)
601 comb += self.state_w_sv.wen.eq(1 << StateRegs.SVSTATE)
602 comb += self.state_w_sv.i_data.eq(self.new_svstate)
603 sync += self.sv_changed.eq(1)
604
605 # start renaming some of the ports to match microwatt
606 if self.microwatt_compat:
607 self.core.o.core_terminate_o.name = "terminated_out"
608 # names of DMI interface
609 self.dbg.dmi.addr_i.name = 'dmi_addr'
610 self.dbg.dmi.din.name = 'dmi_din'
611 self.dbg.dmi.dout.name = 'dmi_dout'
612 self.dbg.dmi.req_i.name = 'dmi_req'
613 self.dbg.dmi.we_i.name = 'dmi_wr'
614 self.dbg.dmi.ack_o.name = 'dmi_ack'
615 # wishbone instruction bus
616 ibus = self.imem.ibus
617 ibus.adr.name = 'wishbone_insn_out.adr'
618 ibus.dat_w.name = 'wishbone_insn_out.dat'
619 ibus.sel.name = 'wishbone_insn_out.sel'
620 ibus.cyc.name = 'wishbone_insn_out.cyc'
621 ibus.stb.name = 'wishbone_insn_out.stb'
622 ibus.we.name = 'wishbone_insn_out.we'
623 ibus.dat_r.name = 'wishbone_insn_in.dat'
624 ibus.ack.name = 'wishbone_insn_in.ack'
625 ibus.stall.name = 'wishbone_insn_in.stall'
626 # wishbone data bus
627 dbus = self.core.l0.cmpi.wb_bus()
628 dbus.adr.name = 'wishbone_data_out.adr'
629 dbus.dat_w.name = 'wishbone_data_out.dat'
630 dbus.sel.name = 'wishbone_data_out.sel'
631 dbus.cyc.name = 'wishbone_data_out.cyc'
632 dbus.stb.name = 'wishbone_data_out.stb'
633 dbus.we.name = 'wishbone_data_out.we'
634 dbus.dat_r.name = 'wishbone_data_in.dat'
635 dbus.ack.name = 'wishbone_data_in.ack'
636 dbus.stall.name = 'wishbone_data_in.stall'
637
638 return m
639
640 def __iter__(self):
641 yield from self.pc_i.ports()
642 yield from self.msr_i.ports()
643 yield self.pc_o
644 yield self.memerr_o
645 yield from self.core.ports()
646 yield from self.imem.ports()
647 yield self.core_bigendian_i
648 yield self.busy_o
649
650 def ports(self):
651 return list(self)
652
653 def external_ports(self):
654 if self.microwatt_compat:
655 ports = [self.core.o.core_terminate_o,
656 self.alt_reset, # not connected yet
657 ClockSignal(),
658 ResetSignal(),
659 ]
660 ports += list(self.dbg.dmi.ports())
661 # for dbus/ibus microwatt, exclude err btw and cti
662 for name, sig in self.imem.ibus.fields.items():
663 if name not in ['err', 'bte', 'cti']:
664 ports.append(sig)
665 for name, sig in self.core.l0.cmpi.wb_bus().fields.items():
666 if name not in ['err', 'bte', 'cti']:
667 ports.append(sig)
668 return ports
669
670 ports = self.pc_i.ports()
671 ports = self.msr_i.ports()
672 ports += [self.pc_o, self.memerr_o, self.core_bigendian_i, self.busy_o,
673 ]
674
675 if self.jtag_en:
676 ports += list(self.jtag.external_ports())
677 else:
678 # don't add DMI if JTAG is enabled
679 ports += list(self.dbg.dmi.ports())
680
681 ports += list(self.imem.ibus.fields.values())
682 ports += list(self.core.l0.cmpi.wb_bus().fields.values())
683
684 if self.sram4x4k:
685 for sram in self.sram4k:
686 ports += list(sram.bus.fields.values())
687
688 if self.xics:
689 ports += list(self.xics_icp.bus.fields.values())
690 ports += list(self.xics_ics.bus.fields.values())
691 ports.append(self.int_level_i)
692 else:
693 ports.append(self.ext_irq)
694
695 if self.gpio:
696 ports += list(self.simple_gpio.bus.fields.values())
697 ports.append(self.gpio_o)
698
699 return ports
700
701 def ports(self):
702 return list(self)
703
704
705
706 # Fetch Finite State Machine.
707 # WARNING: there are currently DriverConflicts but it's actually working.
708 # TODO, here: everything that is global in nature, information from the
709 # main TestIssuerInternal, needs to move to either ispec() or ospec().
710 # not only that: TestIssuerInternal.imem can entirely move into here
711 # because imem is only ever accessed inside the FetchFSM.
712 class FetchFSM(ControlBase):
713 def __init__(self, allow_overlap, svp64_en, imem, core_rst,
714 pdecode2, cur_state,
715 dbg, core, svstate, nia, is_svp64_mode):
716 self.allow_overlap = allow_overlap
717 self.svp64_en = svp64_en
718 self.imem = imem
719 self.core_rst = core_rst
720 self.pdecode2 = pdecode2
721 self.cur_state = cur_state
722 self.dbg = dbg
723 self.core = core
724 self.svstate = svstate
725 self.nia = nia
726 self.is_svp64_mode = is_svp64_mode
727
728 # set up pipeline ControlBase and allocate i/o specs
729 # (unusual: normally done by the Pipeline API)
730 super().__init__(stage=self)
731 self.p.i_data, self.n.o_data = self.new_specs(None)
732 self.i, self.o = self.p.i_data, self.n.o_data
733
734 # next 3 functions are Stage API Compliance
735 def setup(self, m, i):
736 pass
737
738 def ispec(self):
739 return FetchInput()
740
741 def ospec(self):
742 return FetchOutput()
743
744 def elaborate(self, platform):
745 """fetch FSM
746
747 this FSM performs fetch of raw instruction data, partial-decodes
748 it 32-bit at a time to detect SVP64 prefixes, and will optionally
749 read a 2nd 32-bit quantity if that occurs.
750 """
751 m = super().elaborate(platform)
752
753 dbg = self.dbg
754 core = self.core
755 pc = self.i.pc
756 msr = self.i.msr
757 svstate = self.svstate
758 nia = self.nia
759 is_svp64_mode = self.is_svp64_mode
760 fetch_pc_o_ready = self.p.o_ready
761 fetch_pc_i_valid = self.p.i_valid
762 fetch_insn_o_valid = self.n.o_valid
763 fetch_insn_i_ready = self.n.i_ready
764
765 comb = m.d.comb
766 sync = m.d.sync
767 pdecode2 = self.pdecode2
768 cur_state = self.cur_state
769 dec_opcode_o = pdecode2.dec.raw_opcode_in # raw opcode
770
771 # also note instruction fetch failed
772 if hasattr(core, "icache"):
773 fetch_failed = core.icache.i_out.fetch_failed
774 flush_needed = True
775 else:
776 fetch_failed = Const(0, 1)
777 flush_needed = False
778
779 # set priv / virt mode on I-Cache, sigh
780 if isinstance(self.imem, ICache):
781 comb += self.imem.i_in.priv_mode.eq(~msr[MSR.PR])
782 comb += self.imem.i_in.virt_mode.eq(msr[MSR.IR]) # Instr. Redir (VM)
783
784 with m.FSM(name='fetch_fsm'):
785
786 # waiting (zzz)
787 with m.State("IDLE"):
788 # fetch allowed if not failed and stopped but not stepping
789 # (see dmi.py for how core_stop_o is generated)
790 with m.If(~fetch_failed & ~dbg.core_stop_o):
791 comb += fetch_pc_o_ready.eq(1)
792 with m.If(fetch_pc_i_valid & ~pdecode2.instr_fault
793 & ~dbg.core_stop_o):
794 # instruction allowed to go: start by reading the PC
795 # capture the PC and also drop it into Insn Memory
796 # we have joined a pair of combinatorial memory
797 # lookups together. this is Generally Bad.
798 comb += self.imem.a_pc_i.eq(pc)
799 comb += self.imem.a_i_valid.eq(1)
800 comb += self.imem.f_i_valid.eq(1)
801 # transfer state to output
802 sync += cur_state.pc.eq(pc)
803 sync += cur_state.svstate.eq(svstate) # and svstate
804 sync += cur_state.msr.eq(msr) # and msr
805
806 m.next = "INSN_READ" # move to "wait for bus" phase
807
808 # dummy pause to find out why simulation is not keeping up
809 with m.State("INSN_READ"):
810 # when using "single-step" mode, checking dbg.stopping_o
811 # prevents progress. allow fetch to proceed once started
812 stopping = Const(0)
813 #if self.allow_overlap:
814 # stopping = dbg.stopping_o
815 with m.If(stopping):
816 # stopping: jump back to idle
817 m.next = "IDLE"
818 with m.Else():
819 with m.If(self.imem.f_busy_o &
820 ~pdecode2.instr_fault): # zzz...
821 # busy but not fetch failed: stay in wait-read
822 comb += self.imem.a_pc_i.eq(pc)
823 comb += self.imem.a_i_valid.eq(1)
824 comb += self.imem.f_i_valid.eq(1)
825 with m.Else():
826 # not busy (or fetch failed!): instruction fetched
827 # when fetch failed, the instruction gets ignored
828 # by the decoder
829 if hasattr(core, "icache"):
830 # blech, icache returns actual instruction
831 insn = self.imem.f_instr_o
832 else:
833 # but these return raw memory
834 insn = get_insn(self.imem.f_instr_o, cur_state.pc)
835 if self.svp64_en:
836 svp64 = self.svp64
837 # decode the SVP64 prefix, if any
838 comb += svp64.raw_opcode_in.eq(insn)
839 comb += svp64.bigendian.eq(self.core_bigendian_i)
840 # pass the decoded prefix (if any) to PowerDecoder2
841 sync += pdecode2.sv_rm.eq(svp64.svp64_rm)
842 sync += pdecode2.is_svp64_mode.eq(is_svp64_mode)
843 # remember whether this is a prefixed instruction,
844 # so the FSM can readily loop when VL==0
845 sync += is_svp64_mode.eq(svp64.is_svp64_mode)
846 # calculate the address of the following instruction
847 insn_size = Mux(svp64.is_svp64_mode, 8, 4)
848 sync += nia.eq(cur_state.pc + insn_size)
849 with m.If(~svp64.is_svp64_mode):
850 # with no prefix, store the instruction
851 # and hand it directly to the next FSM
852 sync += dec_opcode_o.eq(insn)
853 m.next = "INSN_READY"
854 with m.Else():
855 # fetch the rest of the instruction from memory
856 comb += self.imem.a_pc_i.eq(cur_state.pc + 4)
857 comb += self.imem.a_i_valid.eq(1)
858 comb += self.imem.f_i_valid.eq(1)
859 m.next = "INSN_READ2"
860 else:
861 # not SVP64 - 32-bit only
862 sync += nia.eq(cur_state.pc + 4)
863 sync += dec_opcode_o.eq(insn)
864 m.next = "INSN_READY"
865
866 with m.State("INSN_READ2"):
867 with m.If(self.imem.f_busy_o): # zzz...
868 # busy: stay in wait-read
869 comb += self.imem.a_i_valid.eq(1)
870 comb += self.imem.f_i_valid.eq(1)
871 with m.Else():
872 # not busy: instruction fetched
873 if hasattr(core, "icache"):
874 # blech, icache returns actual instruction
875 insn = self.imem.f_instr_o
876 else:
877 insn = get_insn(self.imem.f_instr_o, cur_state.pc+4)
878 sync += dec_opcode_o.eq(insn)
879 m.next = "INSN_READY"
880 # TODO: probably can start looking at pdecode2.rm_dec
881 # here or maybe even in INSN_READ state, if svp64_mode
882 # detected, in order to trigger - and wait for - the
883 # predicate reading.
884 if self.svp64_en:
885 pmode = pdecode2.rm_dec.predmode
886 """
887 if pmode != SVP64PredMode.ALWAYS.value:
888 fire predicate loading FSM and wait before
889 moving to INSN_READY
890 else:
891 sync += self.srcmask.eq(-1) # set to all 1s
892 sync += self.dstmask.eq(-1) # set to all 1s
893 m.next = "INSN_READY"
894 """
895
896 with m.State("INSN_READY"):
897 # hand over the instruction, to be decoded
898 comb += fetch_insn_o_valid.eq(1)
899 with m.If(fetch_insn_i_ready):
900 m.next = "IDLE"
901
902 # whatever was done above, over-ride it if core reset is held
903 with m.If(self.core_rst):
904 sync += nia.eq(0)
905
906 return m
907
908
909 class TestIssuerInternal(TestIssuerBase):
910 """TestIssuer - reads instructions from TestMemory and issues them
911
912 efficiency and speed is not the main goal here: functional correctness
913 and code clarity is. optimisations (which almost 100% interfere with
914 easy understanding) come later.
915 """
916
917 def fetch_predicate_fsm(self, m,
918 pred_insn_i_valid, pred_insn_o_ready,
919 pred_mask_o_valid, pred_mask_i_ready):
920 """fetch_predicate_fsm - obtains (constructs in the case of CR)
921 src/dest predicate masks
922
923 https://bugs.libre-soc.org/show_bug.cgi?id=617
924 the predicates can be read here, by using IntRegs r_ports['pred']
925 or CRRegs r_ports['pred']. in the case of CRs it will have to
926 be done through multiple reads, extracting one relevant at a time.
927 later, a faster way would be to use the 32-bit-wide CR port but
928 this is more complex decoding, here. equivalent code used in
929 ISACaller is "from openpower.decoder.isa.caller import get_predcr"
930
931 note: this ENTIRE FSM is not to be called when svp64 is disabled
932 """
933 comb = m.d.comb
934 sync = m.d.sync
935 pdecode2 = self.pdecode2
936 rm_dec = pdecode2.rm_dec # SVP64RMModeDecode
937 predmode = rm_dec.predmode
938 srcpred, dstpred = rm_dec.srcpred, rm_dec.dstpred
939 cr_pred, int_pred = self.cr_pred, self.int_pred # read regfiles
940 # get src/dst step, so we can skip already used mask bits
941 cur_state = self.cur_state
942 srcstep = cur_state.svstate.srcstep
943 dststep = cur_state.svstate.dststep
944 cur_vl = cur_state.svstate.vl
945
946 # decode predicates
947 sregread, sinvert, sunary, sall1s = get_predint(m, srcpred, 's')
948 dregread, dinvert, dunary, dall1s = get_predint(m, dstpred, 'd')
949 sidx, scrinvert = get_predcr(m, srcpred, 's')
950 didx, dcrinvert = get_predcr(m, dstpred, 'd')
951
952 # store fetched masks, for either intpred or crpred
953 # when src/dst step is not zero, the skipped mask bits need to be
954 # shifted-out, before actually storing them in src/dest mask
955 new_srcmask = Signal(64, reset_less=True)
956 new_dstmask = Signal(64, reset_less=True)
957
958 with m.FSM(name="fetch_predicate"):
959
960 with m.State("FETCH_PRED_IDLE"):
961 comb += pred_insn_o_ready.eq(1)
962 with m.If(pred_insn_i_valid):
963 with m.If(predmode == SVP64PredMode.INT):
964 # skip fetching destination mask register, when zero
965 with m.If(dall1s):
966 sync += new_dstmask.eq(-1)
967 # directly go to fetch source mask register
968 # guaranteed not to be zero (otherwise predmode
969 # would be SVP64PredMode.ALWAYS, not INT)
970 comb += int_pred.addr.eq(sregread)
971 comb += int_pred.ren.eq(1)
972 m.next = "INT_SRC_READ"
973 # fetch destination predicate register
974 with m.Else():
975 comb += int_pred.addr.eq(dregread)
976 comb += int_pred.ren.eq(1)
977 m.next = "INT_DST_READ"
978 with m.Elif(predmode == SVP64PredMode.CR):
979 # go fetch masks from the CR register file
980 sync += new_srcmask.eq(0)
981 sync += new_dstmask.eq(0)
982 m.next = "CR_READ"
983 with m.Else():
984 sync += self.srcmask.eq(-1)
985 sync += self.dstmask.eq(-1)
986 m.next = "FETCH_PRED_DONE"
987
988 with m.State("INT_DST_READ"):
989 # store destination mask
990 inv = Repl(dinvert, 64)
991 with m.If(dunary):
992 # set selected mask bit for 1<<r3 mode
993 dst_shift = Signal(range(64))
994 comb += dst_shift.eq(self.int_pred.o_data & 0b111111)
995 sync += new_dstmask.eq(1 << dst_shift)
996 with m.Else():
997 # invert mask if requested
998 sync += new_dstmask.eq(self.int_pred.o_data ^ inv)
999 # skip fetching source mask register, when zero
1000 with m.If(sall1s):
1001 sync += new_srcmask.eq(-1)
1002 m.next = "FETCH_PRED_SHIFT_MASK"
1003 # fetch source predicate register
1004 with m.Else():
1005 comb += int_pred.addr.eq(sregread)
1006 comb += int_pred.ren.eq(1)
1007 m.next = "INT_SRC_READ"
1008
1009 with m.State("INT_SRC_READ"):
1010 # store source mask
1011 inv = Repl(sinvert, 64)
1012 with m.If(sunary):
1013 # set selected mask bit for 1<<r3 mode
1014 src_shift = Signal(range(64))
1015 comb += src_shift.eq(self.int_pred.o_data & 0b111111)
1016 sync += new_srcmask.eq(1 << src_shift)
1017 with m.Else():
1018 # invert mask if requested
1019 sync += new_srcmask.eq(self.int_pred.o_data ^ inv)
1020 m.next = "FETCH_PRED_SHIFT_MASK"
1021
1022 # fetch masks from the CR register file
1023 # implements the following loop:
1024 # idx, inv = get_predcr(mask)
1025 # mask = 0
1026 # for cr_idx in range(vl):
1027 # cr = crl[cr_idx + SVP64CROffs.CRPred] # takes one cycle
1028 # if cr[idx] ^ inv:
1029 # mask |= 1 << cr_idx
1030 # return mask
1031 with m.State("CR_READ"):
1032 # CR index to be read, which will be ready by the next cycle
1033 cr_idx = Signal.like(cur_vl, reset_less=True)
1034 # submit the read operation to the regfile
1035 with m.If(cr_idx != cur_vl):
1036 # the CR read port is unary ...
1037 # ren = 1 << cr_idx
1038 # ... in MSB0 convention ...
1039 # ren = 1 << (7 - cr_idx)
1040 # ... and with an offset:
1041 # ren = 1 << (7 - off - cr_idx)
1042 idx = SVP64CROffs.CRPred + cr_idx
1043 comb += cr_pred.ren.eq(1 << (7 - idx))
1044 # signal data valid in the next cycle
1045 cr_read = Signal(reset_less=True)
1046 sync += cr_read.eq(1)
1047 # load the next index
1048 sync += cr_idx.eq(cr_idx + 1)
1049 with m.Else():
1050 # exit on loop end
1051 sync += cr_read.eq(0)
1052 sync += cr_idx.eq(0)
1053 m.next = "FETCH_PRED_SHIFT_MASK"
1054 with m.If(cr_read):
1055 # compensate for the one cycle delay on the regfile
1056 cur_cr_idx = Signal.like(cur_vl)
1057 comb += cur_cr_idx.eq(cr_idx - 1)
1058 # read the CR field, select the appropriate bit
1059 cr_field = Signal(4)
1060 scr_bit = Signal()
1061 dcr_bit = Signal()
1062 comb += cr_field.eq(cr_pred.o_data)
1063 comb += scr_bit.eq(cr_field.bit_select(sidx, 1)
1064 ^ scrinvert)
1065 comb += dcr_bit.eq(cr_field.bit_select(didx, 1)
1066 ^ dcrinvert)
1067 # set the corresponding mask bit
1068 bit_to_set = Signal.like(self.srcmask)
1069 comb += bit_to_set.eq(1 << cur_cr_idx)
1070 with m.If(scr_bit):
1071 sync += new_srcmask.eq(new_srcmask | bit_to_set)
1072 with m.If(dcr_bit):
1073 sync += new_dstmask.eq(new_dstmask | bit_to_set)
1074
1075 with m.State("FETCH_PRED_SHIFT_MASK"):
1076 # shift-out skipped mask bits
1077 sync += self.srcmask.eq(new_srcmask >> srcstep)
1078 sync += self.dstmask.eq(new_dstmask >> dststep)
1079 m.next = "FETCH_PRED_DONE"
1080
1081 with m.State("FETCH_PRED_DONE"):
1082 comb += pred_mask_o_valid.eq(1)
1083 with m.If(pred_mask_i_ready):
1084 m.next = "FETCH_PRED_IDLE"
1085
1086 def issue_fsm(self, m, core, nia,
1087 dbg, core_rst, is_svp64_mode,
1088 fetch_pc_o_ready, fetch_pc_i_valid,
1089 fetch_insn_o_valid, fetch_insn_i_ready,
1090 pred_insn_i_valid, pred_insn_o_ready,
1091 pred_mask_o_valid, pred_mask_i_ready,
1092 exec_insn_i_valid, exec_insn_o_ready,
1093 exec_pc_o_valid, exec_pc_i_ready):
1094 """issue FSM
1095
1096 decode / issue FSM. this interacts with the "fetch" FSM
1097 through fetch_insn_ready/valid (incoming) and fetch_pc_ready/valid
1098 (outgoing). also interacts with the "execute" FSM
1099 through exec_insn_ready/valid (outgoing) and exec_pc_ready/valid
1100 (incoming).
1101 SVP64 RM prefixes have already been set up by the
1102 "fetch" phase, so execute is fairly straightforward.
1103 """
1104
1105 comb = m.d.comb
1106 sync = m.d.sync
1107 pdecode2 = self.pdecode2
1108 cur_state = self.cur_state
1109 new_svstate = self.new_svstate
1110
1111 # temporaries
1112 dec_opcode_i = pdecode2.dec.raw_opcode_in # raw opcode
1113
1114 # for updating svstate (things like srcstep etc.)
1115 comb += new_svstate.eq(cur_state.svstate)
1116
1117 # precalculate srcstep+1 and dststep+1
1118 cur_srcstep = cur_state.svstate.srcstep
1119 cur_dststep = cur_state.svstate.dststep
1120 next_srcstep = Signal.like(cur_srcstep)
1121 next_dststep = Signal.like(cur_dststep)
1122 comb += next_srcstep.eq(cur_state.svstate.srcstep+1)
1123 comb += next_dststep.eq(cur_state.svstate.dststep+1)
1124
1125 # note if an exception happened. in a pipelined or OoO design
1126 # this needs to be accompanied by "shadowing" (or stalling)
1127 exc_happened = self.core.o.exc_happened
1128 # also note instruction fetch failed
1129 if hasattr(core, "icache"):
1130 fetch_failed = core.icache.i_out.fetch_failed
1131 flush_needed = True
1132 # set to fault in decoder
1133 # update (highest priority) instruction fault
1134 rising_fetch_failed = rising_edge(m, fetch_failed)
1135 with m.If(rising_fetch_failed):
1136 sync += pdecode2.instr_fault.eq(1)
1137 else:
1138 fetch_failed = Const(0, 1)
1139 flush_needed = False
1140
1141 with m.FSM(name="issue_fsm"):
1142
1143 # sync with the "fetch" phase which is reading the instruction
1144 # at this point, there is no instruction running, that
1145 # could inadvertently update the PC.
1146 with m.State("ISSUE_START"):
1147 # reset instruction fault
1148 sync += pdecode2.instr_fault.eq(0)
1149 # wait on "core stop" release, before next fetch
1150 # need to do this here, in case we are in a VL==0 loop
1151 with m.If(~dbg.core_stop_o & ~core_rst):
1152 comb += fetch_pc_i_valid.eq(1) # tell fetch to start
1153 with m.If(fetch_pc_o_ready): # fetch acknowledged us
1154 m.next = "INSN_WAIT"
1155 with m.Else():
1156 # tell core it's stopped, and acknowledge debug handshake
1157 comb += dbg.core_stopped_i.eq(1)
1158 # while stopped, allow updating SVSTATE
1159 with m.If(self.svstate_i.ok):
1160 comb += new_svstate.eq(self.svstate_i.data)
1161 comb += self.update_svstate.eq(1)
1162 sync += self.sv_changed.eq(1)
1163
1164 # wait for an instruction to arrive from Fetch
1165 with m.State("INSN_WAIT"):
1166 # when using "single-step" mode, checking dbg.stopping_o
1167 # prevents progress. allow issue to proceed once started
1168 stopping = Const(0)
1169 #if self.allow_overlap:
1170 # stopping = dbg.stopping_o
1171 with m.If(stopping):
1172 # stopping: jump back to idle
1173 m.next = "ISSUE_START"
1174 if flush_needed:
1175 # request the icache to stop asserting "failed"
1176 comb += core.icache.flush_in.eq(1)
1177 # stop instruction fault
1178 sync += pdecode2.instr_fault.eq(0)
1179 with m.Else():
1180 comb += fetch_insn_i_ready.eq(1)
1181 with m.If(fetch_insn_o_valid):
1182 # loop into ISSUE_START if it's a SVP64 instruction
1183 # and VL == 0. this because VL==0 is a for-loop
1184 # from 0 to 0 i.e. always, always a NOP.
1185 cur_vl = cur_state.svstate.vl
1186 with m.If(is_svp64_mode & (cur_vl == 0)):
1187 # update the PC before fetching the next instruction
1188 # since we are in a VL==0 loop, no instruction was
1189 # executed that we could be overwriting
1190 comb += self.state_w_pc.wen.eq(1 << StateRegs.PC)
1191 comb += self.state_w_pc.i_data.eq(nia)
1192 comb += self.insn_done.eq(1)
1193 m.next = "ISSUE_START"
1194 with m.Else():
1195 if self.svp64_en:
1196 m.next = "PRED_START" # fetching predicate
1197 else:
1198 m.next = "DECODE_SV" # skip predication
1199
1200 with m.State("PRED_START"):
1201 comb += pred_insn_i_valid.eq(1) # tell fetch_pred to start
1202 with m.If(pred_insn_o_ready): # fetch_pred acknowledged us
1203 m.next = "MASK_WAIT"
1204
1205 with m.State("MASK_WAIT"):
1206 comb += pred_mask_i_ready.eq(1) # ready to receive the masks
1207 with m.If(pred_mask_o_valid): # predication masks are ready
1208 m.next = "PRED_SKIP"
1209
1210 # skip zeros in predicate
1211 with m.State("PRED_SKIP"):
1212 with m.If(~is_svp64_mode):
1213 m.next = "DECODE_SV" # nothing to do
1214 with m.Else():
1215 if self.svp64_en:
1216 pred_src_zero = pdecode2.rm_dec.pred_sz
1217 pred_dst_zero = pdecode2.rm_dec.pred_dz
1218
1219 # new srcstep, after skipping zeros
1220 skip_srcstep = Signal.like(cur_srcstep)
1221 # value to be added to the current srcstep
1222 src_delta = Signal.like(cur_srcstep)
1223 # add leading zeros to srcstep, if not in zero mode
1224 with m.If(~pred_src_zero):
1225 # priority encoder (count leading zeros)
1226 # append guard bit, in case the mask is all zeros
1227 pri_enc_src = PriorityEncoder(65)
1228 m.submodules.pri_enc_src = pri_enc_src
1229 comb += pri_enc_src.i.eq(Cat(self.srcmask,
1230 Const(1, 1)))
1231 comb += src_delta.eq(pri_enc_src.o)
1232 # apply delta to srcstep
1233 comb += skip_srcstep.eq(cur_srcstep + src_delta)
1234 # shift-out all leading zeros from the mask
1235 # plus the leading "one" bit
1236 # TODO count leading zeros and shift-out the zero
1237 # bits, in the same step, in hardware
1238 sync += self.srcmask.eq(self.srcmask >> (src_delta+1))
1239
1240 # same as above, but for dststep
1241 skip_dststep = Signal.like(cur_dststep)
1242 dst_delta = Signal.like(cur_dststep)
1243 with m.If(~pred_dst_zero):
1244 pri_enc_dst = PriorityEncoder(65)
1245 m.submodules.pri_enc_dst = pri_enc_dst
1246 comb += pri_enc_dst.i.eq(Cat(self.dstmask,
1247 Const(1, 1)))
1248 comb += dst_delta.eq(pri_enc_dst.o)
1249 comb += skip_dststep.eq(cur_dststep + dst_delta)
1250 sync += self.dstmask.eq(self.dstmask >> (dst_delta+1))
1251
1252 # TODO: initialize mask[VL]=1 to avoid passing past VL
1253 with m.If((skip_srcstep >= cur_vl) |
1254 (skip_dststep >= cur_vl)):
1255 # end of VL loop. Update PC and reset src/dst step
1256 comb += self.state_w_pc.wen.eq(1 << StateRegs.PC)
1257 comb += self.state_w_pc.i_data.eq(nia)
1258 comb += new_svstate.srcstep.eq(0)
1259 comb += new_svstate.dststep.eq(0)
1260 comb += self.update_svstate.eq(1)
1261 # synchronize with the simulator
1262 comb += self.insn_done.eq(1)
1263 # go back to Issue
1264 m.next = "ISSUE_START"
1265 with m.Else():
1266 # update new src/dst step
1267 comb += new_svstate.srcstep.eq(skip_srcstep)
1268 comb += new_svstate.dststep.eq(skip_dststep)
1269 comb += self.update_svstate.eq(1)
1270 # proceed to Decode
1271 m.next = "DECODE_SV"
1272
1273 # pass predicate mask bits through to satellite decoders
1274 # TODO: for SIMD this will be *multiple* bits
1275 sync += core.i.sv_pred_sm.eq(self.srcmask[0])
1276 sync += core.i.sv_pred_dm.eq(self.dstmask[0])
1277
1278 # after src/dst step have been updated, we are ready
1279 # to decode the instruction
1280 with m.State("DECODE_SV"):
1281 # decode the instruction
1282 with m.If(~fetch_failed):
1283 sync += pdecode2.instr_fault.eq(0)
1284 sync += core.i.e.eq(pdecode2.e)
1285 sync += core.i.state.eq(cur_state)
1286 sync += core.i.raw_insn_i.eq(dec_opcode_i)
1287 sync += core.i.bigendian_i.eq(self.core_bigendian_i)
1288 if self.svp64_en:
1289 sync += core.i.sv_rm.eq(pdecode2.sv_rm)
1290 # set RA_OR_ZERO detection in satellite decoders
1291 sync += core.i.sv_a_nz.eq(pdecode2.sv_a_nz)
1292 # and svp64 detection
1293 sync += core.i.is_svp64_mode.eq(is_svp64_mode)
1294 # and svp64 bit-rev'd ldst mode
1295 ldst_dec = pdecode2.use_svp64_ldst_dec
1296 sync += core.i.use_svp64_ldst_dec.eq(ldst_dec)
1297 # after decoding, reset any previous exception condition,
1298 # allowing it to be set again during the next execution
1299 sync += pdecode2.ldst_exc.eq(0)
1300
1301 m.next = "INSN_EXECUTE" # move to "execute"
1302
1303 # handshake with execution FSM, move to "wait" once acknowledged
1304 with m.State("INSN_EXECUTE"):
1305 # when using "single-step" mode, checking dbg.stopping_o
1306 # prevents progress. allow execute to proceed once started
1307 stopping = Const(0)
1308 #if self.allow_overlap:
1309 # stopping = dbg.stopping_o
1310 with m.If(stopping):
1311 # stopping: jump back to idle
1312 m.next = "ISSUE_START"
1313 if flush_needed:
1314 # request the icache to stop asserting "failed"
1315 comb += core.icache.flush_in.eq(1)
1316 # stop instruction fault
1317 sync += pdecode2.instr_fault.eq(0)
1318 with m.Else():
1319 comb += exec_insn_i_valid.eq(1) # trigger execute
1320 with m.If(exec_insn_o_ready): # execute acknowledged us
1321 m.next = "EXECUTE_WAIT"
1322
1323 with m.State("EXECUTE_WAIT"):
1324 comb += exec_pc_i_ready.eq(1)
1325 # see https://bugs.libre-soc.org/show_bug.cgi?id=636
1326 # the exception info needs to be blatted into
1327 # pdecode.ldst_exc, and the instruction "re-run".
1328 # when ldst_exc.happened is set, the PowerDecoder2
1329 # reacts very differently: it re-writes the instruction
1330 # with a "trap" (calls PowerDecoder2.trap()) which
1331 # will *overwrite* whatever was requested and jump the
1332 # PC to the exception address, as well as alter MSR.
1333 # nothing else needs to be done other than to note
1334 # the change of PC and MSR (and, later, SVSTATE)
1335 with m.If(exc_happened):
1336 mmu = core.fus.get_exc("mmu0")
1337 ldst = core.fus.get_exc("ldst0")
1338 if mmu is not None:
1339 with m.If(fetch_failed):
1340 # instruction fetch: exception is from MMU
1341 # reset instr_fault (highest priority)
1342 sync += pdecode2.ldst_exc.eq(mmu)
1343 sync += pdecode2.instr_fault.eq(0)
1344 if flush_needed:
1345 # request icache to stop asserting "failed"
1346 comb += core.icache.flush_in.eq(1)
1347 with m.If(~fetch_failed):
1348 # otherwise assume it was a LDST exception
1349 sync += pdecode2.ldst_exc.eq(ldst)
1350
1351 with m.If(exec_pc_o_valid):
1352
1353 # was this the last loop iteration?
1354 is_last = Signal()
1355 cur_vl = cur_state.svstate.vl
1356 comb += is_last.eq(next_srcstep == cur_vl)
1357
1358 with m.If(pdecode2.instr_fault):
1359 # reset instruction fault, try again
1360 sync += pdecode2.instr_fault.eq(0)
1361 m.next = "ISSUE_START"
1362
1363 # return directly to Decode if Execute generated an
1364 # exception.
1365 with m.Elif(pdecode2.ldst_exc.happened):
1366 m.next = "DECODE_SV"
1367
1368 # if MSR, PC or SVSTATE were changed by the previous
1369 # instruction, go directly back to Fetch, without
1370 # updating either MSR PC or SVSTATE
1371 with m.Elif(self.msr_changed | self.pc_changed |
1372 self.sv_changed):
1373 m.next = "ISSUE_START"
1374
1375 # also return to Fetch, when no output was a vector
1376 # (regardless of SRCSTEP and VL), or when the last
1377 # instruction was really the last one of the VL loop
1378 with m.Elif((~pdecode2.loop_continue) | is_last):
1379 # before going back to fetch, update the PC state
1380 # register with the NIA.
1381 # ok here we are not reading the branch unit.
1382 # TODO: this just blithely overwrites whatever
1383 # pipeline updated the PC
1384 comb += self.state_w_pc.wen.eq(1 << StateRegs.PC)
1385 comb += self.state_w_pc.i_data.eq(nia)
1386 # reset SRCSTEP before returning to Fetch
1387 if self.svp64_en:
1388 with m.If(pdecode2.loop_continue):
1389 comb += new_svstate.srcstep.eq(0)
1390 comb += new_svstate.dststep.eq(0)
1391 comb += self.update_svstate.eq(1)
1392 else:
1393 comb += new_svstate.srcstep.eq(0)
1394 comb += new_svstate.dststep.eq(0)
1395 comb += self.update_svstate.eq(1)
1396 m.next = "ISSUE_START"
1397
1398 # returning to Execute? then, first update SRCSTEP
1399 with m.Else():
1400 comb += new_svstate.srcstep.eq(next_srcstep)
1401 comb += new_svstate.dststep.eq(next_dststep)
1402 comb += self.update_svstate.eq(1)
1403 # return to mask skip loop
1404 m.next = "PRED_SKIP"
1405
1406
1407 # check if svstate needs updating: if so, write it to State Regfile
1408 with m.If(self.update_svstate):
1409 sync += cur_state.svstate.eq(self.new_svstate) # for next clock
1410
1411 def execute_fsm(self, m, core,
1412 exec_insn_i_valid, exec_insn_o_ready,
1413 exec_pc_o_valid, exec_pc_i_ready):
1414 """execute FSM
1415
1416 execute FSM. this interacts with the "issue" FSM
1417 through exec_insn_ready/valid (incoming) and exec_pc_ready/valid
1418 (outgoing). SVP64 RM prefixes have already been set up by the
1419 "issue" phase, so execute is fairly straightforward.
1420 """
1421
1422 comb = m.d.comb
1423 sync = m.d.sync
1424 dbg = self.dbg
1425 pdecode2 = self.pdecode2
1426
1427 # temporaries
1428 core_busy_o = core.n.o_data.busy_o # core is busy
1429 core_ivalid_i = core.p.i_valid # instruction is valid
1430
1431 if hasattr(core, "icache"):
1432 fetch_failed = core.icache.i_out.fetch_failed
1433 else:
1434 fetch_failed = Const(0, 1)
1435
1436 with m.FSM(name="exec_fsm"):
1437
1438 # waiting for instruction bus (stays there until not busy)
1439 with m.State("INSN_START"):
1440 comb += exec_insn_o_ready.eq(1)
1441 with m.If(exec_insn_i_valid):
1442 comb += core_ivalid_i.eq(1) # instruction is valid/issued
1443 sync += self.sv_changed.eq(0)
1444 sync += self.pc_changed.eq(0)
1445 sync += self.msr_changed.eq(0)
1446 with m.If(core.p.o_ready): # only move if accepted
1447 m.next = "INSN_ACTIVE" # move to "wait completion"
1448
1449 # instruction started: must wait till it finishes
1450 with m.State("INSN_ACTIVE"):
1451 # note changes to MSR, PC and SVSTATE
1452 # XXX oops, really must monitor *all* State Regfile write
1453 # ports looking for changes!
1454 with m.If(self.state_nia.wen & (1 << StateRegs.SVSTATE)):
1455 sync += self.sv_changed.eq(1)
1456 with m.If(self.state_nia.wen & (1 << StateRegs.MSR)):
1457 sync += self.msr_changed.eq(1)
1458 with m.If(self.state_nia.wen & (1 << StateRegs.PC)):
1459 sync += self.pc_changed.eq(1)
1460 with m.If(~core_busy_o): # instruction done!
1461 comb += exec_pc_o_valid.eq(1)
1462 with m.If(exec_pc_i_ready):
1463 # when finished, indicate "done".
1464 # however, if there was an exception, the instruction
1465 # is *not* yet done. this is an implementation
1466 # detail: we choose to implement exceptions by
1467 # taking the exception information from the LDST
1468 # unit, putting that *back* into the PowerDecoder2,
1469 # and *re-running the entire instruction*.
1470 # if we erroneously indicate "done" here, it is as if
1471 # there were *TWO* instructions:
1472 # 1) the failed LDST 2) a TRAP.
1473 with m.If(~pdecode2.ldst_exc.happened &
1474 ~pdecode2.instr_fault):
1475 comb += self.insn_done.eq(1)
1476 m.next = "INSN_START" # back to fetch
1477 # terminate returns directly to INSN_START
1478 with m.If(dbg.terminate_i):
1479 # comb += self.insn_done.eq(1) - no because it's not
1480 m.next = "INSN_START" # back to fetch
1481
1482 def elaborate(self, platform):
1483 m = super().elaborate(platform)
1484 # convenience
1485 comb, sync = m.d.comb, m.d.sync
1486 cur_state = self.cur_state
1487 pdecode2 = self.pdecode2
1488 dbg = self.dbg
1489 core = self.core
1490
1491 # set up peripherals and core
1492 core_rst = self.core_rst
1493
1494 # indicate to outside world if any FU is still executing
1495 comb += self.any_busy.eq(core.n.o_data.any_busy_o) # any FU executing
1496
1497 # address of the next instruction, in the absence of a branch
1498 # depends on the instruction size
1499 nia = Signal(64)
1500
1501 # connect up debug signals
1502 with m.If(core.o.core_terminate_o):
1503 comb += dbg.terminate_i.eq(1)
1504
1505 # pass the prefix mode from Fetch to Issue, so the latter can loop
1506 # on VL==0
1507 is_svp64_mode = Signal()
1508
1509 # there are *THREE^WFOUR-if-SVP64-enabled* FSMs, fetch (32/64-bit)
1510 # issue, decode/execute, now joined by "Predicate fetch/calculate".
1511 # these are the handshake signals between each
1512
1513 # fetch FSM can run as soon as the PC is valid
1514 fetch_pc_i_valid = Signal() # Execute tells Fetch "start next read"
1515 fetch_pc_o_ready = Signal() # Fetch Tells SVSTATE "proceed"
1516
1517 # fetch FSM hands over the instruction to be decoded / issued
1518 fetch_insn_o_valid = Signal()
1519 fetch_insn_i_ready = Signal()
1520
1521 # predicate fetch FSM decodes and fetches the predicate
1522 pred_insn_i_valid = Signal()
1523 pred_insn_o_ready = Signal()
1524
1525 # predicate fetch FSM delivers the masks
1526 pred_mask_o_valid = Signal()
1527 pred_mask_i_ready = Signal()
1528
1529 # issue FSM delivers the instruction to the be executed
1530 exec_insn_i_valid = Signal()
1531 exec_insn_o_ready = Signal()
1532
1533 # execute FSM, hands over the PC/SVSTATE back to the issue FSM
1534 exec_pc_o_valid = Signal()
1535 exec_pc_i_ready = Signal()
1536
1537 # the FSMs here are perhaps unusual in that they detect conditions
1538 # then "hold" information, combinatorially, for the core
1539 # (as opposed to using sync - which would be on a clock's delay)
1540 # this includes the actual opcode, valid flags and so on.
1541
1542 # Fetch, then predicate fetch, then Issue, then Execute.
1543 # Issue is where the VL for-loop # lives. the ready/valid
1544 # signalling is used to communicate between the four.
1545
1546 # set up Fetch FSM
1547 fetch = FetchFSM(self.allow_overlap, self.svp64_en,
1548 self.imem, core_rst, pdecode2, cur_state,
1549 dbg, core,
1550 dbg.state.svstate, # combinatorially same
1551 nia, is_svp64_mode)
1552 m.submodules.fetch = fetch
1553 # connect up in/out data to existing Signals
1554 comb += fetch.p.i_data.pc.eq(dbg.state.pc) # combinatorially same
1555 comb += fetch.p.i_data.msr.eq(dbg.state.msr) # combinatorially same
1556 # and the ready/valid signalling
1557 comb += fetch_pc_o_ready.eq(fetch.p.o_ready)
1558 comb += fetch.p.i_valid.eq(fetch_pc_i_valid)
1559 comb += fetch_insn_o_valid.eq(fetch.n.o_valid)
1560 comb += fetch.n.i_ready.eq(fetch_insn_i_ready)
1561
1562 self.issue_fsm(m, core, nia,
1563 dbg, core_rst, is_svp64_mode,
1564 fetch_pc_o_ready, fetch_pc_i_valid,
1565 fetch_insn_o_valid, fetch_insn_i_ready,
1566 pred_insn_i_valid, pred_insn_o_ready,
1567 pred_mask_o_valid, pred_mask_i_ready,
1568 exec_insn_i_valid, exec_insn_o_ready,
1569 exec_pc_o_valid, exec_pc_i_ready)
1570
1571 if self.svp64_en:
1572 self.fetch_predicate_fsm(m,
1573 pred_insn_i_valid, pred_insn_o_ready,
1574 pred_mask_o_valid, pred_mask_i_ready)
1575
1576 self.execute_fsm(m, core,
1577 exec_insn_i_valid, exec_insn_o_ready,
1578 exec_pc_o_valid, exec_pc_i_ready)
1579
1580 return m
1581
1582
1583 class TestIssuer(Elaboratable):
1584 def __init__(self, pspec):
1585 self.ti = TestIssuerInternal(pspec)
1586 self.pll = DummyPLL(instance=True)
1587
1588 self.dbg_rst_i = Signal(reset_less=True)
1589
1590 # PLL direct clock or not
1591 self.pll_en = hasattr(pspec, "use_pll") and pspec.use_pll
1592 if self.pll_en:
1593 self.pll_test_o = Signal(reset_less=True)
1594 self.pll_vco_o = Signal(reset_less=True)
1595 self.clk_sel_i = Signal(2, reset_less=True)
1596 self.ref_clk = ClockSignal() # can't rename it but that's ok
1597 self.pllclk_clk = ClockSignal("pllclk")
1598
1599 def elaborate(self, platform):
1600 m = Module()
1601 comb = m.d.comb
1602
1603 # TestIssuer nominally runs at main clock, actually it is
1604 # all combinatorial internally except for coresync'd components
1605 m.submodules.ti = ti = self.ti
1606
1607 if self.pll_en:
1608 # ClockSelect runs at PLL output internal clock rate
1609 m.submodules.wrappll = pll = self.pll
1610
1611 # add clock domains from PLL
1612 cd_pll = ClockDomain("pllclk")
1613 m.domains += cd_pll
1614
1615 # PLL clock established. has the side-effect of running clklsel
1616 # at the PLL's speed (see DomainRenamer("pllclk") above)
1617 pllclk = self.pllclk_clk
1618 comb += pllclk.eq(pll.clk_pll_o)
1619
1620 # wire up external 24mhz to PLL
1621 #comb += pll.clk_24_i.eq(self.ref_clk)
1622 # output 18 mhz PLL test signal, and analog oscillator out
1623 comb += self.pll_test_o.eq(pll.pll_test_o)
1624 comb += self.pll_vco_o.eq(pll.pll_vco_o)
1625
1626 # input to pll clock selection
1627 comb += pll.clk_sel_i.eq(self.clk_sel_i)
1628
1629 # now wire up ResetSignals. don't mind them being in this domain
1630 pll_rst = ResetSignal("pllclk")
1631 comb += pll_rst.eq(ResetSignal())
1632
1633 # internal clock is set to selector clock-out. has the side-effect of
1634 # running TestIssuer at this speed (see DomainRenamer("intclk") above)
1635 # debug clock runs at coresync internal clock
1636 if self.ti.dbg_domain != 'sync':
1637 cd_dbgsync = ClockDomain("dbgsync")
1638 intclk = ClockSignal(self.ti.core_domain)
1639 dbgclk = ClockSignal(self.ti.dbg_domain)
1640 # XXX BYPASS PLL XXX
1641 # XXX BYPASS PLL XXX
1642 # XXX BYPASS PLL XXX
1643 if self.pll_en:
1644 comb += intclk.eq(self.ref_clk)
1645 assert self.ti.core_domain != 'sync', \
1646 "cannot set core_domain to sync and use pll at the same time"
1647 else:
1648 if self.ti.core_domain != 'sync':
1649 comb += intclk.eq(ClockSignal())
1650 if self.ti.dbg_domain != 'sync':
1651 dbgclk = ClockSignal(self.ti.dbg_domain)
1652 comb += dbgclk.eq(intclk)
1653 comb += self.ti.dbg_rst_i.eq(self.dbg_rst_i)
1654
1655 return m
1656
1657 def ports(self):
1658 return list(self.ti.ports()) + list(self.pll.ports()) + \
1659 [ClockSignal(), ResetSignal()]
1660
1661 def external_ports(self):
1662 ports = self.ti.external_ports()
1663 ports.append(ClockSignal())
1664 ports.append(ResetSignal())
1665 if self.pll_en:
1666 ports.append(self.clk_sel_i)
1667 ports.append(self.pll.clk_24_i)
1668 ports.append(self.pll_test_o)
1669 ports.append(self.pll_vco_o)
1670 ports.append(self.pllclk_clk)
1671 ports.append(self.ref_clk)
1672 return ports
1673
1674
1675 if __name__ == '__main__':
1676 units = {'alu': 1, 'cr': 1, 'branch': 1, 'trap': 1, 'logical': 1,
1677 'spr': 1,
1678 'div': 1,
1679 'mul': 1,
1680 'shiftrot': 1
1681 }
1682 pspec = TestMemPspec(ldst_ifacetype='bare_wb',
1683 imem_ifacetype='bare_wb',
1684 addr_wid=48,
1685 mask_wid=8,
1686 reg_wid=64,
1687 units=units)
1688 dut = TestIssuer(pspec)
1689 vl = main(dut, ports=dut.ports(), name="test_issuer")
1690
1691 if len(sys.argv) == 1:
1692 vl = rtlil.convert(dut, ports=dut.external_ports(), name="test_issuer")
1693 with open("test_issuer.il", "w") as f:
1694 f.write(vl)