remove unneeded function call
[ieee754fpu.git] / src / add / nmigen_add_experiment.py
index 6c1bda9dc3affb2009afc3571811333c3267e754..054985f79f43b1678357fee37a1a705872d8f8c5 100644 (file)
@@ -101,7 +101,7 @@ class FPAddSpecialCasesMod:
         """
         m.d.comb += self.in_a.copy(in_a)
         m.d.comb += self.in_b.copy(in_b)
-        m.d.comb += out_z.v.eq(self.out_z.v)
+        #m.d.comb += out_z.v.eq(self.out_z.v)
         m.d.comb += out_do_z.eq(self.out_do_z)
 
     def elaborate(self, platform):
@@ -203,7 +203,7 @@ class FPAddSpecialCases(FPState):
 
     def action(self, m):
         with m.If(self.out_do_z):
-            m.d.sync += self.z.v.eq(self.out_z.v) # only take the output
+            m.d.sync += self.out_z.v.eq(self.mod.out_z.v) # only take the output
             m.next = "put_z"
         with m.Else():
             m.next = "denormalise"
@@ -609,7 +609,7 @@ class FPNorm1(FPState):
         self.temp_z = FPNumBase(width)
         self.temp_of = Overflow()
         self.out_z = FPNumBase(width)
-        self.out_of = Overflow()
+        self.out_roundz = Signal(reset_less=True)
 
     def setup(self, m, in_z, in_of, norm_stb):
         """ links module to inputs and outputs
@@ -624,17 +624,15 @@ class FPNorm1(FPState):
         m.d.comb += self.mod.temp_of.copy(self.temp_of)
 
         m.d.comb += self.out_z.copy(self.mod.out_z)
-        m.d.comb += self.out_of.copy(self.mod.out_of)
         m.d.comb += self.out_norm.eq(self.mod.out_norm)
 
         m.d.comb += self.stb.eq(norm_stb)
         m.d.sync += self.ack.eq(0) # sets to zero when not in normalise_1 state
 
     def action(self, m):
+
         m.d.comb += self.in_accept.eq((~self.ack) & (self.stb))
-        m.d.sync += self.of.copy(self.out_of)
-        m.d.sync += self.z.copy(self.out_z)
-        m.d.sync += self.temp_of.copy(self.out_of)
+        m.d.sync += self.temp_of.copy(self.mod.out_of)
         m.d.sync += self.temp_z.copy(self.out_z)
         with m.If(self.out_norm):
             with m.If(self.in_accept):
@@ -647,6 +645,7 @@ class FPNorm1(FPState):
             # normalisation not required (or done).
             m.next = "round"
             m.d.sync += self.ack.eq(1)
+            m.d.sync += self.out_roundz.eq(self.mod.out_of.roundz)
 
 
 class FPRoundMod:
@@ -673,13 +672,13 @@ class FPRound(FPState):
         self.mod = FPRoundMod(width)
         self.out_z = FPNumBase(width)
 
-    def setup(self, m, in_z, in_of):
+    def setup(self, m, in_z, roundz):
         """ links module to inputs and outputs
         """
         m.submodules.roundz = self.mod
 
         m.d.comb += self.mod.in_z.copy(in_z)
-        m.d.comb += self.mod.in_roundz.eq(in_of.roundz)
+        m.d.comb += self.mod.in_roundz.eq(roundz)
 
     def action(self, m):
         m.d.sync += self.out_z.copy(self.mod.out_z)
@@ -786,12 +785,6 @@ class FPADD:
         """
         m = Module()
 
-        # Latches
-        z = FPNumOut(self.width, False)
-        m.submodules.fpnum_z = z
-
-        w = z.m_width + 4
-
         geta = self.add_state(FPGetOp("get_a", "get_b",
                                       self.in_a, self.width))
         a = geta.out_op
@@ -805,8 +798,6 @@ class FPADD:
         m.submodules.get_b = getb.mod
 
         sc = self.add_state(FPAddSpecialCases(self.width))
-        sc.set_inputs({"a": a, "b": b})
-        sc.set_outputs({"z": z})
         sc.mod.setup(m, a, b, sc.out_z, sc.out_do_z)
         m.submodules.specialcases = sc.mod
 
@@ -834,15 +825,11 @@ class FPADD:
         add1 = self.add_state(FPAddStage1(self.width))
         add1.setup(m, add0.out_tot, add0.out_z)
 
-        az = add1.out_z
-
         n1 = self.add_state(FPNorm1(self.width))
-        n1.set_inputs({"z": az, "of": add1.out_of})  # XXX Z as output
-        n1.set_outputs({"z": az})  # XXX Z as output
-        n1.setup(m, az, add1.out_of, add1.norm_stb)
+        n1.setup(m, add1.out_z, add1.out_of, add1.norm_stb)
 
         rn = self.add_state(FPRound(self.width))
-        rn.setup(m, n1.out_z, add1.out_of)
+        rn.setup(m, n1.out_z, n1.out_roundz)
 
         cor = self.add_state(FPCorrections(self.width))
         cor.set_inputs({"z": rn.out_z})  # XXX Z as output
@@ -859,7 +846,7 @@ class FPADD:
         ppz.set_outputs({"out_z": self.out_z})
 
         pz = self.add_state(FPPutZ("put_z"))
-        pz.set_inputs({"z": z})
+        pz.set_inputs({"z": sc.out_z})
         pz.set_outputs({"out_z": self.out_z})
 
         with m.FSM() as fsm: