start connecting FPNumBase2Ops
[ieee754fpu.git] / src / add / nmigen_add_experiment.py
index 744f5049451f81def45afcb1acac14fc19eb1d70..3928f7bf3c832f673e33af2c76537f45e738d6e1 100644 (file)
@@ -185,22 +185,30 @@ class FPGetOp(FPState):
 
 
 class FPGet2OpMod(Trigger):
-    def __init__(self, width):
+    def __init__(self, width, id_wid):
         Trigger.__init__(self)
+        self.width = width
+        self.id_wid = id_wid
         self.in_op1 = Signal(width, reset_less=True)
         self.in_op2 = Signal(width, reset_less=True)
-        self.out_op1 = FPNumIn(None, width)
-        self.out_op2 = FPNumIn(None, width)
+        self.o = FPNumBase2Ops(width, id_wid)
+
+    def ospec(self):
+        return FPNumBase2Ops(self.width, self.id_wid)
 
     def elaborate(self, platform):
         m = Trigger.elaborate(self, platform)
         #m.submodules.get_op_in = self.in_op
-        m.submodules.get_op1_out = self.out_op1
-        m.submodules.get_op2_out = self.out_op2
+        m.submodules.get_op1_out = self.o.a
+        m.submodules.get_op2_out = self.o.b
+        out_op1 = FPNumIn(None, self.width)
+        out_op2 = FPNumIn(None, self.width)
         with m.If(self.trigger):
             m.d.comb += [
-                self.out_op1.decode(self.in_op1),
-                self.out_op2.decode(self.in_op2),
+                out_op1.decode(self.in_op1),
+                out_op2.decode(self.in_op2),
+                self.o.a.eq(out_op1),
+                self.o.b.eq(out_op2),
             ]
         return m
 
@@ -209,14 +217,13 @@ class FPGet2Op(FPState):
     """ gets operands
     """
 
-    def __init__(self, in_state, out_state, in_op1, in_op2, width):
+    def __init__(self, in_state, out_state, in_op1, in_op2, width, id_wid):
         FPState.__init__(self, in_state)
         self.out_state = out_state
-        self.mod = FPGet2OpMod(width)
+        self.mod = FPGet2OpMod(width, id_wid)
         self.in_op1 = in_op1
         self.in_op2 = in_op2
-        self.out_op1 = FPNumIn(None, width)
-        self.out_op2 = FPNumIn(None, width)
+        self.o = self.mod.ospec()
         self.in_stb = Signal(reset_less=True)
         self.out_ack = Signal(reset_less=True)
         self.out_decode = Signal(reset_less=True)
@@ -239,20 +246,20 @@ class FPGet2Op(FPState):
                 self.mod.ack.eq(0),
                 #self.out_op1.v.eq(self.mod.out_op1.v),
                 #self.out_op2.v.eq(self.mod.out_op2.v),
-                self.out_op1.eq(self.mod.out_op1),
-                self.out_op2.eq(self.mod.out_op2)
+                self.o.eq(self.mod.o),
             ]
         with m.Else():
             m.d.sync += self.mod.ack.eq(1)
 
 class FPNumBase2Ops:
 
-    def __init__(self, width, m_extra=True):
+    def __init__(self, width, id_wid, m_extra=True):
         self.a = FPNumBase(width, m_extra)
         self.b = FPNumBase(width, m_extra)
+        self.mid = Signal(id_wid, reset_less=True)
 
     def eq(self, i):
-        return [self.a.eq(i.a), self.b.eq(i.b)]
+        return [self.a.eq(i.a), self.b.eq(i.b), self.mid.eq(i.mid)]
 
 
 class FPAddSpecialCasesMod:
@@ -261,17 +268,18 @@ class FPAddSpecialCasesMod:
         https://steve.hollasch.net/cgindex/coding/ieeefloat.html
     """
 
-    def __init__(self, width):
+    def __init__(self, width, id_wid):
         self.width = width
+        self.id_wid = id_wid
         self.i = self.ispec()
-        self.out_z = self.ospec()
+        self.o = self.ospec()
         self.out_do_z = Signal(reset_less=True)
 
     def ispec(self):
-        return FPNumBase2Ops(self.width)
+        return FPNumBase2Ops(self.width, self.id_wid)
 
     def ospec(self):
-        return FPNumOut(self.width, False)
+        return FPPackData(self.width, self.id_wid)
 
     def setup(self, m, in_a, in_b, out_do_z):
         """ links module to inputs and outputs
@@ -286,7 +294,7 @@ class FPAddSpecialCasesMod:
 
         m.submodules.sc_in_a = self.i.a
         m.submodules.sc_in_b = self.i.b
-        m.submodules.sc_out_z = self.out_z
+        m.submodules.sc_out_z = self.o.z
 
         s_nomatch = Signal()
         m.d.comb += s_nomatch.eq(self.i.a.s != self.i.b.s)
@@ -297,7 +305,7 @@ class FPAddSpecialCasesMod:
         # if a is NaN or b is NaN return NaN
         with m.If(self.i.a.is_nan | self.i.b.is_nan):
             m.d.comb += self.out_do_z.eq(1)
-            m.d.comb += self.out_z.nan(0)
+            m.d.comb += self.o.z.nan(0)
 
         # XXX WEIRDNESS for FP16 non-canonical NaN handling
         # under review
@@ -325,39 +333,39 @@ class FPAddSpecialCasesMod:
         # if a is inf return inf (or NaN)
         with m.Elif(self.i.a.is_inf):
             m.d.comb += self.out_do_z.eq(1)
-            m.d.comb += self.out_z.inf(self.i.a.s)
+            m.d.comb += self.o.z.inf(self.i.a.s)
             # if a is inf and signs don't match return NaN
             with m.If(self.i.b.exp_128 & s_nomatch):
-                m.d.comb += self.out_z.nan(0)
+                m.d.comb += self.o.z.nan(0)
 
         # if b is inf return inf
         with m.Elif(self.i.b.is_inf):
             m.d.comb += self.out_do_z.eq(1)
-            m.d.comb += self.out_z.inf(self.i.b.s)
+            m.d.comb += self.o.z.inf(self.i.b.s)
 
         # if a is zero and b zero return signed-a/b
         with m.Elif(self.i.a.is_zero & self.i.b.is_zero):
             m.d.comb += self.out_do_z.eq(1)
-            m.d.comb += self.out_z.create(self.i.a.s & self.i.b.s,
+            m.d.comb += self.o.z.create(self.i.a.s & self.i.b.s,
                                           self.i.b.e,
                                           self.i.b.m[3:-1])
 
         # if a is zero return b
         with m.Elif(self.i.a.is_zero):
             m.d.comb += self.out_do_z.eq(1)
-            m.d.comb += self.out_z.create(self.i.b.s, self.i.b.e,
+            m.d.comb += self.o.z.create(self.i.b.s, self.i.b.e,
                                       self.i.b.m[3:-1])
 
         # if b is zero return a
         with m.Elif(self.i.b.is_zero):
             m.d.comb += self.out_do_z.eq(1)
-            m.d.comb += self.out_z.create(self.i.a.s, self.i.a.e,
+            m.d.comb += self.o.z.create(self.i.a.s, self.i.a.e,
                                       self.i.a.m[3:-1])
 
         # if a equal to -b return zero (+ve zero)
         with m.Elif(s_nomatch & m_match & (self.i.a.e == self.i.b.e)):
             m.d.comb += self.out_do_z.eq(1)
-            m.d.comb += self.out_z.zero(0)
+            m.d.comb += self.o.z.zero(0)
 
         # Denormalised Number checks
         with m.Else():
@@ -419,11 +427,11 @@ class FPAddSpecialCasesDeNorm(FPState, FPID):
     def __init__(self, width, id_wid):
         FPState.__init__(self, "special_cases")
         FPID.__init__(self, id_wid)
-        self.smod = FPAddSpecialCasesMod(width)
+        self.smod = FPAddSpecialCasesMod(width, id_wid)
         self.out_z = self.smod.ospec()
         self.out_do_z = Signal(reset_less=True)
 
-        self.dmod = FPAddDeNormMod(width)
+        self.dmod = FPAddDeNormMod(width, id_wid)
         self.o = self.dmod.ospec()
 
     def setup(self, m, in_a, in_b, in_mid):
@@ -437,7 +445,7 @@ class FPAddSpecialCasesDeNorm(FPState, FPID):
     def action(self, m):
         self.idsync(m)
         with m.If(self.out_do_z):
-            m.d.sync += self.out_z.v.eq(self.smod.out_z.v) # only take output
+            m.d.sync += self.out_z.z.v.eq(self.smod.o.z.v) # only take output
             m.next = "put_z"
         with m.Else():
             m.next = "align"
@@ -447,16 +455,17 @@ class FPAddSpecialCasesDeNorm(FPState, FPID):
 
 class FPAddDeNormMod(FPState):
 
-    def __init__(self, width):
+    def __init__(self, width, id_wid):
         self.width = width
+        self.id_wid = id_wid
         self.i = self.ispec()
         self.o = self.ospec()
 
     def ispec(self):
-        return FPNumBase2Ops(self.width)
+        return FPNumBase2Ops(self.width, self.id_wid)
 
     def ospec(self):
-        return FPNumBase2Ops(self.width)
+        return FPNumBase2Ops(self.width, self.id_wid)
 
     def setup(self, m, in_a, in_b):
         """ links module to inputs and outputs
@@ -585,26 +594,28 @@ class FPAddAlignMulti(FPState, FPID):
 
 class FPNumIn2Ops:
 
-    def __init__(self, width):
+    def __init__(self, width, id_wid):
         self.a = FPNumIn(None, width)
         self.b = FPNumIn(None, width)
+        self.mid = Signal(id_wid, reset_less=True)
 
     def eq(self, i):
-        return [self.a.eq(i.a), self.b.eq(i.b)]
+        return [self.a.eq(i.a), self.b.eq(i.b), self.mid.eq(i.mid)]
 
 
 class FPAddAlignSingleMod:
 
-    def __init__(self, width):
+    def __init__(self, width, id_wid):
         self.width = width
+        self.id_wid = id_wid
         self.i = self.ispec()
         self.o = self.ospec()
 
     def ispec(self):
-        return FPNumBase2Ops(self.width)
+        return FPNumBase2Ops(self.width, self.id_wid)
 
     def ospec(self):
-        return FPNumIn2Ops(self.width)
+        return FPNumIn2Ops(self.width, self.id_wid)
 
     def setup(self, m, in_a, in_b):
         """ links module to inputs and outputs
@@ -683,7 +694,7 @@ class FPAddAlignSingle(FPState, FPID):
     def __init__(self, width, id_wid):
         FPState.__init__(self, "align")
         FPID.__init__(self, id_wid)
-        self.mod = FPAddAlignSingleMod(width)
+        self.mod = FPAddAlignSingleMod(width, id_wid)
         self.out_a = FPNumIn(None, width)
         self.out_b = FPNumIn(None, width)
 
@@ -707,17 +718,14 @@ class FPAddAlignSingleAdd(FPState, FPID):
     def __init__(self, width, id_wid):
         FPState.__init__(self, "align")
         FPID.__init__(self, id_wid)
-        self.mod = FPAddAlignSingleMod(width)
+        self.mod = FPAddAlignSingleMod(width, id_wid)
         self.o = self.mod.ospec()
 
-        self.a0mod = FPAddStage0Mod(width)
-        self.a0_out_z = FPNumBase(width, False)
-        self.out_tot = Signal(self.a0_out_z.m_width + 4, reset_less=True)
-        self.a0_out_z = FPNumBase(width, False)
+        self.a0mod = FPAddStage0Mod(width, id_wid)
+        self.a0o = self.a0mod.ospec()
 
-        self.a1mod = FPAddStage1Mod(width)
-        self.out_z = FPNumBase(width, False)
-        self.out_of = Overflow()
+        self.a1mod = FPAddStage1Mod(width, id_wid)
+        self.a1o = self.a1mod.ospec()
 
     def setup(self, m, in_a, in_b, in_mid):
         """ links module to inputs and outputs
@@ -726,43 +734,43 @@ class FPAddAlignSingleAdd(FPState, FPID):
         m.d.comb += self.o.eq(self.mod.o)
 
         self.a0mod.setup(m, self.o.a, self.o.b)
-        m.d.comb += self.a0_out_z.eq(self.a0mod.o.z)
-        m.d.comb += self.out_tot.eq(self.a0mod.o.tot)
+        m.d.comb += self.a0o.eq(self.a0mod.o)
 
-        self.a1mod.setup(m, self.out_tot, self.a0_out_z)
+        self.a1mod.setup(m, self.a0o.tot, self.a0o.z)
 
         if self.in_mid is not None:
             m.d.comb += self.in_mid.eq(in_mid)
 
     def action(self, m):
         self.idsync(m)
-        m.d.sync += self.out_of.eq(self.a1mod.out_of)
-        m.d.sync += self.out_z.eq(self.a1mod.out_z)
+        m.d.sync += self.a1o.eq(self.a1mod.o)
         m.next = "normalise_1"
 
 
 class FPAddStage0Data:
 
-    def __init__(self, width):
+    def __init__(self, width, id_wid):
         self.z = FPNumBase(width, False)
         self.tot = Signal(self.z.m_width + 4, reset_less=True)
+        self.mid = Signal(id_wid, reset_less=True)
 
     def eq(self, i):
-        return [self.z.eq(i.z), self.tot.eq(i.tot)]
+        return [self.z.eq(i.z), self.tot.eq(i.tot), self.mid.eq(i.mid)]
 
 
 class FPAddStage0Mod:
 
-    def __init__(self, width):
+    def __init__(self, width, id_wid):
         self.width = width
+        self.id_wid = id_wid
         self.i = self.ispec()
         self.o = self.ospec()
 
     def ispec(self):
-        return FPNumBase2Ops(self.width)
+        return FPNumBase2Ops(self.width, self.id_wid)
 
     def ospec(self):
-        return FPAddStage0Data(self.width)
+        return FPAddStage0Data(self.width, self.id_wid)
 
     def setup(self, m, in_a, in_b):
         """ links module to inputs and outputs
@@ -836,26 +844,43 @@ class FPAddStage0(FPState, FPID):
         m.next = "add_1"
 
 
+class FPAddStage1Data:
+
+    def __init__(self, width, id_wid):
+        self.z = FPNumBase(width, False)
+        self.of = Overflow()
+        self.mid = Signal(id_wid, reset_less=True)
+
+    def eq(self, i):
+        return [self.z.eq(i.z), self.of.eq(i.of), self.mid.eq(i.mid)]
+
+
+
 class FPAddStage1Mod(FPState):
     """ Second stage of add: preparation for normalisation.
         detects when tot sum is too big (tot[27] is kinda a carry bit)
     """
 
-    def __init__(self, width):
-        self.out_norm = Signal(reset_less=True)
-        self.in_z = FPNumBase(width, False)
-        self.in_tot = Signal(self.in_z.m_width + 4, reset_less=True)
-        self.out_z = FPNumBase(width, False)
-        self.out_of = Overflow()
+    def __init__(self, width, id_wid):
+        self.width = width
+        self.id_wid = id_wid
+        self.i = self.ispec()
+        self.o = self.ospec()
+
+    def ispec(self):
+        return FPAddStage0Data(self.width, self.id_wid)
+
+    def ospec(self):
+        return FPAddStage1Data(self.width, self.id_wid)
 
     def setup(self, m, in_tot, in_z):
         """ links module to inputs and outputs
         """
         m.submodules.add1 = self
-        m.submodules.add1_out_overflow = self.out_of
+        m.submodules.add1_out_overflow = self.o.of
 
-        m.d.comb += self.in_z.eq(in_z)
-        m.d.comb += self.in_tot.eq(in_tot)
+        m.d.comb += self.i.z.eq(in_z)
+        m.d.comb += self.i.tot.eq(in_tot)
 
     def elaborate(self, platform):
         m = Module()
@@ -863,25 +888,25 @@ class FPAddStage1Mod(FPState):
         #m.submodules.norm1_out_overflow = self.out_of
         #m.submodules.norm1_in_z = self.in_z
         #m.submodules.norm1_out_z = self.out_z
-        m.d.comb += self.out_z.eq(self.in_z)
+        m.d.comb += self.o.z.eq(self.i.z)
         # tot[-1] (MSB) gets set when the sum overflows. shift result down
-        with m.If(self.in_tot[-1]):
+        with m.If(self.i.tot[-1]):
             m.d.comb += [
-                self.out_z.m.eq(self.in_tot[4:]),
-                self.out_of.m0.eq(self.in_tot[4]),
-                self.out_of.guard.eq(self.in_tot[3]),
-                self.out_of.round_bit.eq(self.in_tot[2]),
-                self.out_of.sticky.eq(self.in_tot[1] | self.in_tot[0]),
-                self.out_z.e.eq(self.in_z.e + 1)
+                self.o.z.m.eq(self.i.tot[4:]),
+                self.o.of.m0.eq(self.i.tot[4]),
+                self.o.of.guard.eq(self.i.tot[3]),
+                self.o.of.round_bit.eq(self.i.tot[2]),
+                self.o.of.sticky.eq(self.i.tot[1] | self.i.tot[0]),
+                self.o.z.e.eq(self.i.z.e + 1)
         ]
         # tot[-1] (MSB) zero case
         with m.Else():
             m.d.comb += [
-                self.out_z.m.eq(self.in_tot[3:]),
-                self.out_of.m0.eq(self.in_tot[3]),
-                self.out_of.guard.eq(self.in_tot[2]),
-                self.out_of.round_bit.eq(self.in_tot[1]),
-                self.out_of.sticky.eq(self.in_tot[0])
+                self.o.z.m.eq(self.i.tot[3:]),
+                self.o.of.m0.eq(self.i.tot[3]),
+                self.o.of.guard.eq(self.i.tot[2]),
+                self.o.of.round_bit.eq(self.i.tot[1]),
+                self.o.of.sticky.eq(self.i.tot[0])
         ]
         return m
 
@@ -918,10 +943,16 @@ class FPNormaliseModSingle:
 
     def __init__(self, width):
         self.width = width
-        self.in_z = FPNumBase(width, False)
-        self.out_z = FPNumBase(width, False)
+        self.in_z = self.ispec()
+        self.out_z = self.ospec()
 
-    def setup(self, m, in_z, out_z, modname):
+    def ispec(self):
+        return FPNumBase(self.width, False)
+
+    def ospec(self):
+        return FPNumBase(self.width, False)
+
+    def setup(self, m, in_z, out_z):
         """ links module to inputs and outputs
         """
         m.submodules.normalise = self
@@ -953,7 +984,7 @@ class FPNormaliseModSingle:
         # initialise out from in (overridden below)
         m.d.comb += self.out_z.eq(in_z)
         m.d.comb += self.out_of.eq(in_of)
-        # normalisation increase/decrease conditions
+        # normalisation decrease condition
         decrease = Signal(reset_less=True)
         m.d.comb += decrease.eq(in_z.m_msbzero)
         # decrease exponent
@@ -976,59 +1007,74 @@ class FPNormaliseModSingle:
 
         return m
 
+class FPNorm1Data:
+
+    def __init__(self, width, id_wid):
+        self.roundz = Signal(reset_less=True)
+        self.z = FPNumBase(width, False)
+        self.mid = Signal(id_wid, reset_less=True)
+
+    def eq(self, i):
+        return [self.z.eq(i.z), self.roundz.eq(i.roundz), self.mid.eq(i.mid)]
+
 
 class FPNorm1ModSingle:
 
-    def __init__(self, width):
+    def __init__(self, width, id_wid):
         self.width = width
-        self.out_norm = Signal(reset_less=True)
-        self.in_z = FPNumBase(width, False)
-        self.in_of = Overflow()
-        self.out_z = FPNumBase(width, False)
-        self.out_of = Overflow()
+        self.id_wid = id_wid
+        self.i = self.ispec()
+        self.o = self.ospec()
+
+    def ispec(self):
+        return FPAddStage1Data(self.width, self.id_wid)
+
+    def ospec(self):
+        return FPNorm1Data(self.width, self.id_wid)
 
     def setup(self, m, in_z, in_of, out_z):
         """ links module to inputs and outputs
         """
         m.submodules.normalise_1 = self
 
-        m.d.comb += self.in_z.eq(in_z)
-        m.d.comb += self.in_of.eq(in_of)
+        m.d.comb += self.i.z.eq(in_z)
+        m.d.comb += self.i.of.eq(in_of)
 
-        m.d.comb += out_z.eq(self.out_z)
+        m.d.comb += out_z.eq(self.o.z)
 
     def elaborate(self, platform):
         m = Module()
 
-        mwid = self.out_z.m_width+2
+        mwid = self.o.z.m_width+2
         pe = PriorityEncoder(mwid)
         m.submodules.norm_pe = pe
 
-        m.submodules.norm1_out_z = self.out_z
-        m.submodules.norm1_out_overflow = self.out_of
-        m.submodules.norm1_in_z = self.in_z
-        m.submodules.norm1_in_overflow = self.in_of
+        of = Overflow()
+        m.d.comb += self.o.roundz.eq(of.roundz)
 
-        in_z = FPNumBase(self.width, False)
-        in_of = Overflow()
-        m.submodules.norm1_insel_z = in_z
-        m.submodules.norm1_insel_overflow = in_of
+        m.submodules.norm1_out_z = self.o.z
+        m.submodules.norm1_out_overflow = of
+        m.submodules.norm1_in_z = self.i.z
+        m.submodules.norm1_in_overflow = self.i.of
 
-        espec = (len(in_z.e), True)
+        i = self.ispec()
+        m.submodules.norm1_insel_z = i.z
+        m.submodules.norm1_insel_overflow = i.of
+
+        espec = (len(i.z.e), True)
         ediff_n126 = Signal(espec, reset_less=True)
         msr = MultiShiftRMerge(mwid, espec)
         m.submodules.multishift_r = msr
 
-        m.d.comb += in_z.eq(self.in_z)
-        m.d.comb += in_of.eq(self.in_of)
+        m.d.comb += i.eq(self.i)
         # initialise out from in (overridden below)
-        m.d.comb += self.out_z.eq(in_z)
-        m.d.comb += self.out_of.eq(in_of)
+        m.d.comb += self.o.z.eq(i.z)
+        m.d.comb += of.eq(i.of)
         # normalisation increase/decrease conditions
         decrease = Signal(reset_less=True)
         increase = Signal(reset_less=True)
-        m.d.comb += decrease.eq(in_z.m_msbzero & in_z.exp_gt_n126)
-        m.d.comb += increase.eq(in_z.exp_lt_n126)
+        m.d.comb += decrease.eq(i.z.m_msbzero & i.z.exp_gt_n126)
+        m.d.comb += increase.eq(i.z.exp_lt_n126)
         # decrease exponent
         with m.If(decrease):
             # *sigh* not entirely obvious: count leading zeros (clz)
@@ -1036,41 +1082,41 @@ class FPNorm1ModSingle:
             # we reverse the order of the bits.
             temp_m = Signal(mwid, reset_less=True)
             temp_s = Signal(mwid+1, reset_less=True)
-            clz = Signal((len(in_z.e), True), reset_less=True)
+            clz = Signal((len(i.z.e), True), reset_less=True)
             # make sure that the amount to decrease by does NOT
             # go below the minimum non-INF/NaN exponent
-            limclz = Mux(in_z.exp_sub_n126 > pe.o, pe.o,
-                         in_z.exp_sub_n126)
+            limclz = Mux(i.z.exp_sub_n126 > pe.o, pe.o,
+                         i.z.exp_sub_n126)
             m.d.comb += [
                 # cat round and guard bits back into the mantissa
-                temp_m.eq(Cat(in_of.round_bit, in_of.guard, in_z.m)),
+                temp_m.eq(Cat(i.of.round_bit, i.of.guard, i.z.m)),
                 pe.i.eq(temp_m[::-1]),          # inverted
                 clz.eq(limclz),                 # count zeros from MSB down
                 temp_s.eq(temp_m << clz),       # shift mantissa UP
-                self.out_z.e.eq(in_z.e - clz),  # DECREASE exponent
-                self.out_z.m.eq(temp_s[2:]),    # exclude bits 0&1
-                self.out_of.m0.eq(temp_s[2]),   # copy of mantissa[0]
+                self.o.z.e.eq(i.z.e - clz),  # DECREASE exponent
+                self.o.z.m.eq(temp_s[2:]),    # exclude bits 0&1
+                of.m0.eq(temp_s[2]),          # copy of mantissa[0]
                 # overflow in bits 0..1: got shifted too (leave sticky)
-                self.out_of.guard.eq(temp_s[1]),     # guard
-                self.out_of.round_bit.eq(temp_s[0]), # round
+                of.guard.eq(temp_s[1]),       # guard
+                of.round_bit.eq(temp_s[0]),   # round
             ]
         # increase exponent
         with m.Elif(increase):
             temp_m = Signal(mwid+1, reset_less=True)
             m.d.comb += [
-                temp_m.eq(Cat(in_of.sticky, in_of.round_bit, in_of.guard,
-                              in_z.m)),
-                ediff_n126.eq(in_z.N126 - in_z.e),
+                temp_m.eq(Cat(i.of.sticky, i.of.round_bit, i.of.guard,
+                              i.z.m)),
+                ediff_n126.eq(i.z.N126 - i.z.e),
                 # connect multi-shifter to inp/out mantissa (and ediff)
                 msr.inp.eq(temp_m),
                 msr.diff.eq(ediff_n126),
-                self.out_z.m.eq(msr.m[3:]),
-                self.out_of.m0.eq(temp_s[3]),   # copy of mantissa[0]
+                self.o.z.m.eq(msr.m[3:]),
+                of.m0.eq(temp_s[3]),   # copy of mantissa[0]
                 # overflow in bits 0..1: got shifted too (leave sticky)
-                self.out_of.guard.eq(temp_s[2]),     # guard
-                self.out_of.round_bit.eq(temp_s[1]), # round
-                self.out_of.sticky.eq(temp_s[0]), # sticky
-                self.out_z.e.eq(in_z.e + ediff_n126),
+                of.guard.eq(temp_s[2]),     # guard
+                of.round_bit.eq(temp_s[1]), # round
+                of.sticky.eq(temp_s[0]),    # sticky
+                self.o.z.e.eq(i.z.e + ediff_n126),
             ]
 
         return m
@@ -1081,7 +1127,6 @@ class FPNorm1ModMulti:
     def __init__(self, width, single_cycle=True):
         self.width = width
         self.in_select = Signal(reset_less=True)
-        self.out_norm = Signal(reset_less=True)
         self.in_z = FPNumBase(width, False)
         self.in_of = Overflow()
         self.temp_z = FPNumBase(width, False)
@@ -1150,8 +1195,7 @@ class FPNorm1Single(FPState, FPID):
         FPID.__init__(self, id_wid)
         FPState.__init__(self, "normalise_1")
         self.mod = FPNorm1ModSingle(width)
-        self.out_norm = Signal(reset_less=True)
-        self.out_z = FPNumBase(width)
+        self.out_z = FPNumBase(width, False)
         self.out_roundz = Signal(reset_less=True)
 
     def setup(self, m, in_z, in_of, in_mid):
@@ -1227,27 +1271,26 @@ class FPNormToPack(FPState, FPID):
         """
 
         # Normalisation (chained to input in_z+in_of)
-        nmod = FPNorm1ModSingle(self.width)
-        n_out_z = FPNumBase(self.width)
-        n_out_roundz = Signal(reset_less=True)
-        nmod.setup(m, in_z, in_of, n_out_z)
+        nmod = FPNorm1ModSingle(self.width, self.id_wid)
+        n_out = nmod.ospec()
+        nmod.setup(m, in_z, in_of, n_out.z)
+        m.d.comb += n_out.roundz.eq(nmod.o.roundz)
 
         # Rounding (chained to normalisation)
-        rmod = FPRoundMod(self.width)
-        r_out_z = FPNumBase(self.width)
-        rmod.setup(m, n_out_z, n_out_roundz)
-        m.d.comb += n_out_roundz.eq(nmod.out_of.roundz)
+        rmod = FPRoundMod(self.width, self.id_wid)
+        r_out_z = rmod.ospec()
+        rmod.setup(m, n_out.z, n_out.roundz)
         m.d.comb += r_out_z.eq(rmod.out_z)
 
         # Corrections (chained to rounding)
-        cmod = FPCorrectionsMod(self.width)
-        c_out_z = FPNumBase(self.width)
+        cmod = FPCorrectionsMod(self.width, self.id_wid)
+        c_out_z = cmod.ospec()
         cmod.setup(m, r_out_z)
         m.d.comb += c_out_z.eq(cmod.out_z)
 
         # Pack (chained to corrections)
-        self.pmod = FPPackMod(self.width)
-        self.out_z = FPNumBase(self.width)
+        self.pmod = FPPackMod(self.width, self.id_wid)
+        self.out_z = self.pmod.ospec()
         self.pmod.setup(m, c_out_z)
 
         # Multiplex ID
@@ -1256,30 +1299,47 @@ class FPNormToPack(FPState, FPID):
 
     def action(self, m):
         self.idsync(m) # copies incoming ID to outgoing
-        m.d.sync += self.out_z.v.eq(self.pmod.out_z.v) # outputs packed result
+        m.d.sync += self.out_z.z.v.eq(self.pmod.o.z.v) # outputs packed result
         m.next = "pack_put_z"
 
 
+class FPRoundData:
+
+    def __init__(self, width, id_wid):
+        self.z = FPNumBase(width, False)
+        self.mid = Signal(id_wid, reset_less=True)
+
+    def eq(self, i):
+        return [self.z.eq(i.z), self.mid.eq(i.mid)]
+
+
 class FPRoundMod:
 
-    def __init__(self, width):
-        self.in_roundz = Signal(reset_less=True)
-        self.in_z = FPNumBase(width, False)
-        self.out_z = FPNumBase(width, False)
+    def __init__(self, width, id_wid):
+        self.width = width
+        self.id_wid = id_wid
+        self.i = self.ispec()
+        self.out_z = self.ospec()
+
+    def ispec(self):
+        return FPNorm1Data(self.width, self.id_wid)
+
+    def ospec(self):
+        return FPRoundData(self.width, self.id_wid)
 
     def setup(self, m, in_z, roundz):
         m.submodules.roundz = self
 
-        m.d.comb += self.in_z.eq(in_z)
-        m.d.comb += self.in_roundz.eq(roundz)
+        m.d.comb += self.i.z.eq(in_z)
+        m.d.comb += self.i.roundz.eq(roundz)
 
     def elaborate(self, platform):
         m = Module()
-        m.d.comb += self.out_z.eq(self.in_z)
-        with m.If(self.in_roundz):
-            m.d.comb += self.out_z.m.eq(self.in_z.m + 1) # mantissa rounds up
-            with m.If(self.in_z.m == self.in_z.m1s): # all 1s
-                m.d.comb += self.out_z.e.eq(self.in_z.e + 1) # exponent up
+        m.d.comb += self.out_z.eq(self.i)
+        with m.If(self.i.roundz):
+            m.d.comb += self.out_z.z.m.eq(self.i.z.m + 1) # mantissa rounds up
+            with m.If(self.i.z.m == self.i.z.m1s): # all 1s
+                m.d.comb += self.out_z.z.e.eq(self.i.z.e + 1) # exponent up
         return m
 
 
@@ -1289,7 +1349,7 @@ class FPRound(FPState, FPID):
         FPState.__init__(self, "round")
         FPID.__init__(self, id_wid)
         self.mod = FPRoundMod(width)
-        self.out_z = FPNumBase(width)
+        self.out_z = self.mod.ospec()
 
     def setup(self, m, in_z, roundz, in_mid):
         """ links module to inputs and outputs
@@ -1307,9 +1367,17 @@ class FPRound(FPState, FPID):
 
 class FPCorrectionsMod:
 
-    def __init__(self, width):
-        self.in_z = FPNumOut(width, False)
-        self.out_z = FPNumOut(width, False)
+    def __init__(self, width, id_wid):
+        self.width = width
+        self.id_wid = id_wid
+        self.in_z = self.ispec()
+        self.out_z = self.ospec()
+
+    def ispec(self):
+        return FPRoundData(self.width, self.id_wid)
+
+    def ospec(self):
+        return FPRoundData(self.width, self.id_wid)
 
     def setup(self, m, in_z):
         """ links module to inputs and outputs
@@ -1319,11 +1387,11 @@ class FPCorrectionsMod:
 
     def elaborate(self, platform):
         m = Module()
-        m.submodules.corr_in_z = self.in_z
-        m.submodules.corr_out_z = self.out_z
+        m.submodules.corr_in_z = self.in_z.z
+        m.submodules.corr_out_z = self.out_z.z
         m.d.comb += self.out_z.eq(self.in_z)
-        with m.If(self.in_z.is_denormalised):
-            m.d.comb += self.out_z.e.eq(self.in_z.N127)
+        with m.If(self.in_z.z.is_denormalised):
+            m.d.comb += self.out_z.z.e.eq(self.in_z.z.N127)
         return m
 
 
@@ -1333,7 +1401,7 @@ class FPCorrections(FPState, FPID):
         FPState.__init__(self, "corrections")
         FPID.__init__(self, id_wid)
         self.mod = FPCorrectionsMod(width)
-        self.out_z = FPNumBase(width)
+        self.out_z = self.mod.ospec()
 
     def setup(self, m, in_z, in_mid):
         """ links module to inputs and outputs
@@ -1348,35 +1416,68 @@ class FPCorrections(FPState, FPID):
         m.next = "pack"
 
 
+class FPPackData:
+
+    def __init__(self, width, id_wid):
+        self.z = FPNumOut(width, False)
+        self.mid = Signal(id_wid, reset_less=True)
+
+    def eq(self, i):
+        return [self.z.eq(i.z), self.mid.eq(i.mid)]
+
+
 class FPPackMod:
 
-    def __init__(self, width):
-        self.in_z = FPNumOut(width, False)
-        self.out_z = FPNumOut(width, False)
+    def __init__(self, width, id_wid):
+        self.width = width
+        self.id_wid = id_wid
+        self.i = self.ispec()
+        self.o = self.ospec()
+
+    def ispec(self):
+        return FPRoundData(self.width, self.id_wid)
+
+    def ospec(self):
+        return FPPackData(self.width, self.id_wid)
 
     def setup(self, m, in_z):
         """ links module to inputs and outputs
         """
         m.submodules.pack = self
-        m.d.comb += self.in_z.eq(in_z)
+        m.d.comb += self.i.eq(in_z)
 
     def elaborate(self, platform):
         m = Module()
-        m.submodules.pack_in_z = self.in_z
-        with m.If(self.in_z.is_overflowed):
-            m.d.comb += self.out_z.inf(self.in_z.s)
+        m.submodules.pack_in_z = self.i.z
+        with m.If(self.i.z.is_overflowed):
+            m.d.comb += self.o.z.inf(self.i.z.s)
         with m.Else():
-            m.d.comb += self.out_z.create(self.in_z.s, self.in_z.e, self.in_z.m)
+            m.d.comb += self.o.z.create(self.i.z.s, self.i.z.e, self.i.z.m)
         return m
 
 
+class FPPackData:
+    def __init__(self, width, id_wid):
+        self.z = FPNumOut(width, False)
+        self.mid = Signal(id_wid, reset_less=True)
+
+    def eq(self, i):
+        return [self.z.eq(i.z), self.mid.eq(i.mid)]
+
+
 class FPPack(FPState, FPID):
 
     def __init__(self, width, id_wid):
         FPState.__init__(self, "pack")
         FPID.__init__(self, id_wid)
         self.mod = FPPackMod(width)
-        self.out_z = FPNumOut(width, False)
+        self.out_z = self.ospec()
+
+    def ispec(self):
+        return self.mod.ispec()
+
+    def ospec(self):
+        return self.mod.ospec()
 
     def setup(self, m, in_z, in_mid):
         """ links module to inputs and outputs
@@ -1540,10 +1641,11 @@ class FPADDBaseMod(FPID):
     def get_compact_fragment(self, m, platform=None):
 
         get = self.add_state(FPGet2Op("get_ops", "special_cases",
-                                      self.in_a, self.in_b, self.width))
+                                      self.in_a, self.in_b,
+                                      self.width, self.id_wid))
         get.setup(m, self.in_a, self.in_b, self.in_t.stb, self.in_t.ack)
-        a = get.out_op1
-        b = get.out_op2
+        a = get.o.a
+        b = get.o.b
 
         sc = self.add_state(FPAddSpecialCasesDeNorm(self.width, self.id_wid))
         sc.setup(m, a, b, self.in_mid)
@@ -1552,12 +1654,12 @@ class FPADDBaseMod(FPID):
         alm.setup(m, sc.o.a, sc.o.b, sc.in_mid)
 
         n1 = self.add_state(FPNormToPack(self.width, self.id_wid))
-        n1.setup(m, alm.out_z, alm.out_of, alm.in_mid)
+        n1.setup(m, alm.a1o.z, alm.a1o.of, alm.in_mid)
 
-        ppz = self.add_state(FPPutZ("pack_put_z", n1.out_z, self.out_z,
+        ppz = self.add_state(FPPutZ("pack_put_z", n1.out_z.z, self.out_z,
                                     n1.in_mid, self.out_mid))
 
-        pz = self.add_state(FPPutZ("put_z", sc.out_z, self.out_z,
+        pz = self.add_state(FPPutZ("put_z", sc.out_z.z, self.out_z,
                                     sc.in_mid, self.out_mid))