move dependency cells to row class
[soc.git] / src / scoreboard / dependence_cell.py
index 5b7baea8c66f94af39b2c868c1daa5e3d1a6a05f..a4cb8a2d27d9d7ee0ec1a8d28bde1f8ac4103031 100644 (file)
@@ -1,6 +1,6 @@
 from nmigen.compat.sim import run_simulation
 from nmigen.cli import verilog, rtlil
-from nmigen import Module, Signal, Elaboratable
+from nmigen import Module, Signal, Elaboratable, Array
 from nmutil.latch import SRLatch
 
 
@@ -29,9 +29,9 @@ class DependenceCell(Elaboratable):
 
     def elaborate(self, platform):
         m = Module()
-        m.submodules.dest_l = dest_l = SRLatch()
-        m.submodules.src1_l = src1_l = SRLatch()
-        m.submodules.src2_l = src2_l = SRLatch()
+        m.submodules.dest_l = dest_l = SRLatch() # clock-sync'd
+        m.submodules.src1_l = src1_l = SRLatch() # clock-sync'd
+        m.submodules.src2_l = src2_l = SRLatch() # clock-sync'd
 
         # destination latch: reset on go_wr HI, set on dest and issue
         m.d.comb += dest_l.s.eq(self.issue_i & self.dest_i)
@@ -46,14 +46,14 @@ class DependenceCell(Elaboratable):
         m.d.comb += src2_l.r.eq(self.go_rd_i)
 
         # FU "Forward Progress" (read out horizontally)
-        m.d.comb += self.dest_fwd_o.eq(dest_l.qn & self.dest_i)
-        m.d.comb += self.src1_fwd_o.eq(src1_l.qn & self.src1_i)
-        m.d.comb += self.src2_fwd_o.eq(src2_l.qn & self.src2_i)
+        m.d.comb += self.dest_fwd_o.eq(dest_l.q & self.go_wr_i)
+        m.d.comb += self.src1_fwd_o.eq(src1_l.q & self.go_rd_i)
+        m.d.comb += self.src2_fwd_o.eq(src2_l.q & self.go_rd_i)
 
         # Register File Select (read out vertically)
-        m.d.comb += self.dest_rsel_o.eq(dest_l.qn & self.go_wr_i)
-        m.d.comb += self.src1_rsel_o.eq(src1_l.qn & self.go_rd_i)
-        m.d.comb += self.src2_rsel_o.eq(src2_l.qn & self.go_rd_i)
+        m.d.comb += self.dest_rsel_o.eq(dest_l.q & self.dest_i)
+        m.d.comb += self.src1_rsel_o.eq(src1_l.q & self.src1_i)
+        m.d.comb += self.src2_rsel_o.eq(src2_l.q & self.src2_i)
 
         return m
 
@@ -75,6 +75,18 @@ class DependenceCell(Elaboratable):
         return list(self)
 
 
+class DependencyRow(Elaboratable):
+    def __init__(self, n_reg_col):
+        self.n_reg_col = n_reg_col
+        self.rcell = Array(DependenceCell() for f in range(self.n_reg_col))
+
+    def elaborate(self, platform):
+        m = Module()
+        for rn in range(self.n_reg_col):
+            setattr(m.submodules, "dm_r%d" % rn, self.rcell[rn])
+        return m
+
+
 def dcell_sim(dut):
     yield dut.dest_i.eq(1)
     yield dut.issue_i.eq(1)