ignore self-to-self read and write pending hazards
[soc.git] / src / scoreboard / dependence_cell.py
index 6c779a358b8ec4bb7b1f4ffc88cdfc135c2665c5..b137f7aed8b37f056559f977a55c558cec37802b 100644 (file)
@@ -4,6 +4,75 @@ from nmigen import Module, Signal, Elaboratable, Array, Cat
 from nmutil.latch import SRLatch
 
 
+class DepCell(Elaboratable):
+    """ implements 11.4.7 mitch alsup dependence cell, p27
+        adjusted to be clock-sync'd on rising edge only.
+        mitch design (as does 6600) requires alternating rising/falling clock
+
+        * SET mode: issue_i HI, go_i LO, reg_i HI - register is captured
+                                                  - FWD is DISABLED (~issue_i)
+                                                  - RSEL DISABLED
+        * QRY mode: issue_i LO, go_i LO, haz_i HI - FWD is ASSERTED
+                                         reg_i HI - ignored
+        * GO mode : issue_i LO, go_i HI           - RSEL is ASSERTED
+                                         haz_i HI - FWD still can be ASSERTED
+
+        FWD assertion (hazard protection) therefore still occurs in both
+        Query and Go Modes, for this cycle, due to the cq register
+
+        GO mode works for one cycle, again due to the cq register capturing
+        the latch output.  Without the cq register, the SR Latch (which is
+        asynchronous) would be reset at the exact moment that GO was requested,
+        and the RSEL would be garbage.
+    """
+    def __init__(self):
+        # inputs
+        self.reg_i = Signal(reset_less=True)     # reg bit in (top)
+        self.issue_i = Signal(reset_less=True)   # Issue in (top)
+        self.hazard_i = Signal(reset_less=True)  # to check hazard
+        self.go_i = Signal(reset_less=True)      # Go read/write in (left)
+        self.q_o = Signal(reset_less=True)       # Latch out (register active)
+
+        # for Register File Select Lines (vertical)
+        self.rsel_o = Signal(reset_less=True)  # reg sel (bottom)
+        # for Function Unit "forward progress" (horizontal)
+        self.fwd_o = Signal(reset_less=True)   # FU forard progress (right)
+
+    def elaborate(self, platform):
+        m = Module()
+        m.submodules.l = l = SRLatch(sync=False) # async latch
+
+        # record current version of q in a sync'd register
+        cq = Signal() # resets to 0
+        m.d.sync += cq.eq(l.q)
+
+        # reset on go HI, set on dest and issue
+        m.d.comb += l.s.eq(self.issue_i & self.reg_i)
+        m.d.comb += l.r.eq(self.go_i)
+
+        # Function Unit "Forward Progress".
+        m.d.comb += self.fwd_o.eq((l.q) & self.hazard_i & ~self.issue_i)
+
+        # Register Select. Activated on go read/write and *current* latch set
+        m.d.comb += self.rsel_o.eq((cq | l.q) & self.go_i)
+
+        m.d.comb += self.q_o.eq(cq | l.q)
+
+        return m
+
+    def __iter__(self):
+        yield self.reg_i
+        yield self.hazard_i
+        yield self.issue_i
+        yield self.go_i
+        yield self.q_o
+        yield self.rsel_o
+        yield self.fwd_o
+
+    def ports(self):
+        return list(self)
+
+
 class DependenceCell(Elaboratable):
     """ implements 11.4.7 mitch alsup dependence cell, p27
     """
@@ -14,6 +83,11 @@ class DependenceCell(Elaboratable):
         self.src2_i = Signal(reset_less=True)     # oper2 in (top)
         self.issue_i = Signal(reset_less=True)    # Issue in (top)
 
+        self.rd_pend_i = Signal(reset_less=True) # Read pending in (top)
+        self.wr_pend_i = Signal(reset_less=True) # Write pending in (top)
+        self.rd_rsel_o = Signal(reset_less=True) # Read pending out (bottom)
+        self.wr_rsel_o = Signal(reset_less=True) # Write pending out (bottom)
+
         self.go_wr_i = Signal(reset_less=True) # Go Write in (left)
         self.go_rd_i = Signal(reset_less=True)  # Go Read in (left)
 
@@ -29,31 +103,48 @@ class DependenceCell(Elaboratable):
 
     def elaborate(self, platform):
         m = Module()
-        m.submodules.dest_l = dest_l = SRLatch(sync=False) # clock-sync'd
-        m.submodules.src1_l = src1_l = SRLatch(sync=False) # clock-sync'd
-        m.submodules.src2_l = src2_l = SRLatch(sync=False) # clock-sync'd
-
-        # destination latch: reset on go_wr HI, set on dest and issue
-        m.d.comb += dest_l.s.eq(self.issue_i & self.dest_i)
-        m.d.comb += dest_l.r.eq(self.go_wr_i)
-
-        # src1 latch: reset on go_rd HI, set on src1_i and issue
-        m.d.comb += src1_l.s.eq(self.issue_i & self.src1_i)
-        m.d.comb += src1_l.r.eq(self.go_rd_i)
-
-        # src2 latch: reset on go_rd HI, set on op2_i and issue
-        m.d.comb += src2_l.s.eq(self.issue_i & self.src2_i)
-        m.d.comb += src2_l.r.eq(self.go_rd_i)
-
-        # FU "Forward Progress" (read out horizontally)
-        m.d.comb += self.dest_fwd_o.eq(dest_l.q & self.go_wr_i)
-        m.d.comb += self.src1_fwd_o.eq(src1_l.q & self.go_rd_i)
-        m.d.comb += self.src2_fwd_o.eq(src2_l.q & self.go_rd_i)
-
-        # Register File Select (read out vertically)
-        m.d.sync += self.dest_rsel_o.eq(dest_l.q & self.dest_i)
-        m.d.sync += self.src1_rsel_o.eq(src1_l.q & self.src1_i)
-        m.d.sync += self.src2_rsel_o.eq(src2_l.q & self.src2_i)
+        m.submodules.dest_c = dest_c = DepCell()
+        m.submodules.src1_c = src1_c = DepCell()
+        m.submodules.src2_c = src2_c = DepCell()
+
+        # connect issue
+        for c in [dest_c, src1_c, src2_c]:
+            m.d.comb += c.issue_i.eq(self.issue_i)
+
+        # connect go_rd / go_wr (dest->wr, src->rd)
+        m.d.comb += dest_c.go_i.eq(self.go_wr_i)
+        m.d.comb += src1_c.go_i.eq(self.go_rd_i)
+        m.d.comb += src2_c.go_i.eq(self.go_rd_i)
+
+        # connect input reg bit (unary)
+        for c, reg in [(dest_c, self.dest_i),
+                       (src1_c, self.src1_i),
+                       (src2_c, self.src2_i)]:
+            m.d.comb += c.reg_i.eq(reg)
+
+        # wark-wark: yes, writing to the same reg you are reading is *NOT*
+        # a write-after-read hazard.
+        selfhazard = Signal(reset_less=False)
+        m.d.comb += selfhazard.eq((self.dest_i & self.src1_i) |
+                                  (self.dest_i & self.src2_i))
+
+        # connect up hazard checks: read-after-write and write-after-read
+        m.d.comb += dest_c.hazard_i.eq(self.rd_pend_i) # read-after-write
+        m.d.comb += src1_c.hazard_i.eq(self.wr_pend_i) # write-after-read
+        m.d.comb += src2_c.hazard_i.eq(self.wr_pend_i) # write-after-read
+
+        # connect fwd / reg-sel outputs
+        for c, fwd, rsel in [(dest_c, self.dest_fwd_o, self.dest_rsel_o),
+                             (src1_c, self.src1_fwd_o, self.src1_rsel_o),
+                             (src2_c, self.src2_fwd_o, self.src2_rsel_o)]:
+            m.d.comb += fwd.eq(c.fwd_o)
+            m.d.comb += rsel.eq(c.rsel_o)
+
+        # to be accumulated to indicate if register is in use (globally)
+        # after ORing, is fed back in to rd_pend_i / wr_pend_i
+        m.d.comb += self.rd_rsel_o.eq(src1_c.q_o | src2_c.q_o)
+        #with m.If(~selfhazard):
+        m.d.comb += self.wr_rsel_o.eq(dest_c.q_o)
 
         return m
 
@@ -61,6 +152,8 @@ class DependenceCell(Elaboratable):
         yield self.dest_i
         yield self.src1_i
         yield self.src2_i
+        yield self.rd_pend_i
+        yield self.wr_pend_i
         yield self.issue_i
         yield self.go_wr_i
         yield self.go_rd_i
@@ -86,6 +179,12 @@ class DependencyRow(Elaboratable):
         self.src1_i = Signal(n_reg_col, reset_less=True)
         self.src2_i = Signal(n_reg_col, reset_less=True)
 
+        self.rd_pend_i = Signal(n_reg_col, reset_less=True)
+        self.wr_pend_i = Signal(n_reg_col, reset_less=True)
+
+        self.rd_rsel_o = Signal(n_reg_col, reset_less=True)
+        self.wr_rsel_o = Signal(n_reg_col, reset_less=True)
+
         self.issue_i = Signal(reset_less=True)
         self.go_wr_i = Signal(reset_less=True)
         self.go_rd_i = Signal(reset_less=True)
@@ -107,12 +206,20 @@ class DependencyRow(Elaboratable):
         # ---
         # connect Dep dest/src to module dest/src
         # ---
+        rd_pend_i = []
+        wr_pend_i = []
+        rd_rsel_o = []
+        wr_rsel_o = []
         dest_i = []
         src1_i = []
         src2_i = []
         for rn in range(self.n_reg_col):
             dc = rcell[rn]
             # accumulate cell inputs dest/src1/src2
+            rd_pend_i.append(dc.rd_pend_i)
+            wr_pend_i.append(dc.wr_pend_i)
+            rd_rsel_o.append(dc.rd_rsel_o)
+            wr_rsel_o.append(dc.wr_rsel_o)
             dest_i.append(dc.dest_i)
             src1_i.append(dc.src1_i)
             src2_i.append(dc.src2_i)
@@ -120,6 +227,10 @@ class DependencyRow(Elaboratable):
         m.d.comb += [Cat(*dest_i).eq(self.dest_i),
                      Cat(*src1_i).eq(self.src1_i),
                      Cat(*src2_i).eq(self.src2_i),
+                     Cat(*rd_pend_i).eq(self.rd_pend_i),
+                     Cat(*wr_pend_i).eq(self.wr_pend_i),
+                     self.rd_rsel_o.eq(Cat(*rd_rsel_o)),
+                     self.wr_rsel_o.eq(Cat(*wr_rsel_o)),
                     ]
 
         # ---