spelling
[soc.git] / src / soc / experiment / testmem.py
index 05101d0e3f8bbb9456dfbfc2dfd5a623dd13a923..d4a13557283e05784b06f16299c0c284bfc6b19d 100644 (file)
@@ -5,7 +5,8 @@ class TestMemory(Elaboratable):
     def __init__(self, regwid, addrw):
         self.ddepth = 1 # regwid //8
         depth = (1<<addrw) // self.ddepth
-        self.mem   = Memory(width=regwid, depth=depth, init=range(0, depth))
+        self.mem   = Memory(width=regwid, depth=depth,
+                            init=range(0, depth*2, 2))
         self.rdport = self.mem.read_port() # not now transparent=False)
         self.wrport = self.mem.write_port()