Turned the add_0 verilog state into nmigen