2022-07-17 |
Luke Kenneth Casson... | cannot install sysvinit-core
|
commit | commitdiff | tree |
2022-07-17 |
Luke Kenneth Casson... | qemu backports explicit install separate from other...
|
commit | commitdiff | tree |
2022-07-17 |
Luke Kenneth Casson... | add mesa build dependencies
|
commit | commitdiff | tree |
2022-07-17 |
Luke Kenneth Casson... | install meson as build dependency
|
commit | commitdiff | tree |
2022-07-15 |
Luke Kenneth Casson... | needs -y on apt-get
|
commit | commitdiff | tree |
2022-07-15 |
Luke Kenneth Casson... | add texlive latex extra
|
commit | commitdiff | tree |
2022-07-15 |
Luke Kenneth Casson... | add inkscape build depenndency
|
commit | commitdiff | tree |
2022-07-15 |
Luke Kenneth Casson... | add new build dependencies
|
commit | commitdiff | tree |
2022-07-14 |
Luke Kenneth Casson... | fix package-pin on libcurl3-gnutls
|
commit | commitdiff | tree |
2022-07-14 |
Luke Kenneth Casson... | adapt devscripts to only do buster-backports once
|
commit | commitdiff | tree |
2022-07-12 |
Luke Kenneth Casson... | do not run dependent scripts
|
commit | commitdiff | tree |
2022-07-11 |
Luke Kenneth Casson... | pin libcurl3-gnutls
|
commit | commitdiff | tree |
2022-07-11 |
Luke Kenneth Casson... | pin libcurl3-gnutls
|
commit | commitdiff | tree |
2022-07-07 |
Luke Kenneth Casson... | add migen to hdl-kestrel-repos
|
commit | commitdiff | tree |
2022-07-07 |
Luke Kenneth Casson... | whitespace, keep to 80 char limit
|
commit | commitdiff | tree |
2022-07-06 |
Luke Kenneth Casson... | adding path-exports for nextpnr-ecp5, ecppack, ecpbram...
|
commit | commitdiff | tree |
2022-07-06 |
Luke Kenneth Casson... | add mention of dependent scripts needed for kestrel...
|
commit | commitdiff | tree |
2022-07-06 |
Luke Kenneth Casson... | add missing gcc-powerpc64-linux-gnu package
|
commit | commitdiff | tree |
2022-07-06 |
Luke Kenneth Casson... | whitespace cleanup
|
commit | commitdiff | tree |
2022-07-06 |
Luke Kenneth Casson... | env-var $KESTREL, keep (as much as possible) to 80...
|
commit | commitdiff | tree |
2022-07-06 |
Luke Kenneth Casson... | add bitwuzla/cvc5 to hdl-tools-yosys
|
commit | commitdiff | tree |
2022-06-16 |
Luke Kenneth Casson... | update yosys tag
|
commit | commitdiff | tree |
2022-06-16 |
Luke Kenneth Casson... | clone from libre-soc.org for SymbiYosys
|
commit | commitdiff | tree |
2022-06-14 |
Luke Kenneth Casson... | set src directory to $SUDO_USER
|
commit | commitdiff | tree |
2022-06-14 |
Luke Kenneth Casson... | update to 0.13-with-write_jny tag in yosys
|
commit | commitdiff | tree |
2022-06-14 |
Luke Kenneth Casson... | add python3-setuptools-scm to list of dependencies...
|
commit | commitdiff | tree |
2022-06-11 |
Luke Kenneth Casson... | remove prefix, install in /usr/local as default
|
commit | commitdiff | tree |
2022-04-25 |
Luke Kenneth Casson... | add reproducible script
|
commit | commitdiff | tree |
2022-04-25 |
Luke Kenneth Casson... | charter, yken
|
commit | commitdiff | tree |
2022-04-25 |
Luke Kenneth Casson... | add README
|
commit | commitdiff | tree |
2022-04-15 |
Luke Kenneth Casson... | missing quote
|
commit | commitdiff | tree |
2022-04-15 |
Luke Kenneth Casson... | comments on fasm build dependencies
|
commit | commitdiff | tree |
2022-04-15 |
Luke Kenneth Casson... | add libantlr etc. to nextpnr-xilinx-install,
|
commit | commitdiff | tree |
2022-04-15 |
Luke Kenneth Casson... | comments on why cp-scripts-to-chroot is needed
|
commit | commitdiff | tree |
2022-04-15 |
Luke Kenneth Casson... | add manual install/download of fasm-0.0.2.post88
|
commit | commitdiff | tree |
2022-04-14 |
Luke Kenneth Casson... | check out verilog-wishbone at specific tag
|
commit | commitdiff | tree |
2022-04-14 |
Luke Kenneth Casson... | add verilog-wishbone (for async bridge) to hdl-dev-ls2
|
commit | commitdiff | tree |
2022-04-04 |
Luke Kenneth Casson... | also add powerpc64le gcc compiler
|
commit | commitdiff | tree |
2022-04-04 |
Luke Kenneth Casson... | add tercel, uart and ethmac to ls2 repos
|
commit | commitdiff | tree |
2022-04-04 |
Luke Kenneth Casson... | add no-deps to nmigen_boards
|
commit | commitdiff | tree |
2022-04-04 |
Luke Kenneth Casson... | remove backports and installation of yosys, this is
|
commit | commitdiff | tree |
2022-03-31 |
Luke Kenneth Casson... | update iverilog to known-good version d8cb29f6e0
|
commit | commitdiff | tree |
2022-03-26 |
Luke Kenneth Casson... | add devscript for ls2, bork-fix nmigen dependency grr
|
commit | commitdiff | tree |
2022-03-26 |
Luke Kenneth Casson... | add ls2 repo
|
commit | commitdiff | tree |
2022-03-26 |
Luke Kenneth Casson... | add minicom to dependencies
|
commit | commitdiff | tree |
2022-03-26 |
Luke Kenneth Casson... | add specific versions for nextpnr-ecp5
|
commit | commitdiff | tree |
2022-03-26 |
Luke Kenneth Casson... | sort out pinmux
|
commit | commitdiff | tree |
2022-03-26 |
Luke Kenneth Casson... | use gitlab nmigen repos for now
|
commit | commitdiff | tree |
2022-03-26 |
Luke Kenneth Casson... | install powerpc gcc afterwards in case it fails
|
commit | commitdiff | tree |
2022-03-26 |
Luke Kenneth Casson... | mkdir -p on /opt/chroot/$chrootdir
|
commit | commitdiff | tree |
2022-03-25 |
Luke Kenneth Casson... | more notes about yosys and ghdl
|
commit | commitdiff | tree |
2022-03-25 |
Luke Kenneth Casson... | require specific versions of yosys and ghdl and ghdl...
|
commit | commitdiff | tree |
2022-02-20 |
Luke Kenneth Casson... | add python3-jinja2 to list of dependencies
|
commit | commitdiff | tree |
2022-02-08 |
Luke Kenneth Casson... | add comment about libxml2
|
commit | commitdiff | tree |
2022-02-08 |
Luke Kenneth Casson... | update vtr to latest master, stops the segfault
|
commit | commitdiff | tree |
2022-01-29 |
Luke Kenneth Casson... | disable python and gui build of nextpnr-ecp5
|
commit | commitdiff | tree |
2021-12-15 |
Luke Kenneth Casson... | add python3-cffi to depedencies
|
commit | commitdiff | tree |
2021-11-13 |
Luke Kenneth Casson... | replace github download of nmigen with libre-soc.
|
commit | commitdiff | tree |
2021-10-10 |
Luke Kenneth Casson... | add buster-backports and fpga-interchange
|
commit | commitdiff | tree |
2021-10-01 |
Luke Kenneth Casson... | use adder benchmark not ARM benchmark
|
commit | commitdiff | tree |
2021-10-01 |
Luke Kenneth Casson... | alliance is installed locally, in the user home directory...
|
commit | commitdiff | tree |
2021-09-27 |
Luke Kenneth Casson... | check out LS180_RC7_FINAL version of coriolis2
|
commit | commitdiff | tree |
2021-09-25 |
Luke Kenneth Casson... | move symbiflow notes
|
commit | commitdiff | tree |
2021-09-15 |
Luke Kenneth Casson... | add sudo in front of chown/chgrp and make it at the...
|
commit | commitdiff | tree |
2021-09-15 |
Luke Kenneth Casson... | do not use parallel make. guaranteed to fail and/or...
|
commit | commitdiff | tree |
2021-09-15 |
Luke Kenneth Casson... | run under bash
|
commit | commitdiff | tree |
2021-09-14 |
Luke Kenneth Casson... | oooo annoying, scroot refuses to go if done in wrong...
|
commit | commitdiff | tree |
2021-09-09 |
Luke Kenneth Casson... | ARGH getting really fed up with ISP transparent proxies...
|
commit | commitdiff | tree |
2021-09-08 |
Luke Kenneth Casson... | add apt-acquire-retries to coriolis2-chroot
|
commit | commitdiff | tree |
2021-09-08 |
Luke Kenneth Casson... | sort out coriolis-chroot
|
commit | commitdiff | tree |
2021-09-08 |
Luke Kenneth Casson... | add apt-acquire-retries to both chroot and host
|
commit | commitdiff | tree |
2021-09-08 |
Luke Kenneth Casson... | add apt-acquire-retries to stop debootstrap failing
|
commit | commitdiff | tree |
2021-08-31 |
Luke Kenneth Casson... | do not use external unauthorised uncontrolled servers.
|
commit | commitdiff | tree |
2021-08-31 |
Luke Kenneth Casson... | add python 2.7 to list of packages to install
|
commit | commitdiff | tree |
2021-08-20 |
Luke Kenneth Casson... | whoops spelling
|
commit | commitdiff | tree |
2021-08-20 |
Luke Kenneth Casson... | add pin-priority for buster backports
|
commit | commitdiff | tree |
2021-08-19 |
Luke Kenneth Casson... | set up profile for schroot, do not copy over nssdatabases
|
commit | commitdiff | tree |
2021-08-19 |
Luke Kenneth Casson... | coriolis2 chroot use different fstab non-bind-mount
|
commit | commitdiff | tree |
2021-08-19 |
Luke Kenneth Casson... | experiment using schroot itself for install
|
commit | commitdiff | tree |
2021-08-19 |
Luke Kenneth Casson... | use schroot "type=directory" which means no need to...
|
commit | commitdiff | tree |
2021-08-19 |
Luke Kenneth Casson... | use http not https
|
commit | commitdiff | tree |
2021-08-19 |
Luke Kenneth Casson... | ftp.debian.org not being reliable redirection, changing to
|
commit | commitdiff | tree |
2021-08-19 |
Luke Kenneth Casson... | add python2.7 explicit install dependency in buster...
|
commit | commitdiff | tree |
2021-08-19 |
Luke Kenneth Casson... | use python3 to create crypt password
|
commit | commitdiff | tree |
2021-08-16 |
Luke Kenneth Casson... | must be a .list file
|
commit | commitdiff | tree |
2021-08-16 |
Luke Kenneth Casson... | add buster backports to hdl apt dependencies
|
commit | commitdiff | tree |
2021-08-08 |
Luke Kenneth Casson... | add symbiflow-install notes
|
commit | commitdiff | tree |
2021-06-10 |
Luke Kenneth Casson... | add svgwrite to list of dependencies
|
commit | commitdiff | tree |
2021-05-22 |
Luke Kenneth Casson... | add TODO
|
commit | commitdiff | tree |
2021-05-22 |
Luke Kenneth Casson... | do not use pip3 for installing cocotb
|
commit | commitdiff | tree |
2021-05-22 |
Luke Kenneth Casson... | update hdl-dev-repos with pyfnwriter
|
commit | commitdiff | tree |
2021-05-20 |
Luke Kenneth Casson... | add texinfo to ppc64-gdb-gcc
|
commit | commitdiff | tree |
2021-05-20 |
Luke Kenneth Casson... | add wget to install-hdl-apt-reqs
|
commit | commitdiff | tree |
2021-05-20 |
Luke Kenneth Casson... | add wget used in ppc64-gdb-gcc script
|
commit | commitdiff | tree |
2021-05-02 |
Luke Kenneth Casson... | sort out dependencies ordering in hdl-dev-repos
|
commit | commitdiff | tree |
2021-05-02 |
Luke Kenneth Casson... | add -p to mkdir src
|
commit | commitdiff | tree |
2021-05-02 |
Luke Kenneth Casson... | correct mkdir paths in hdl-tools-yosys
|
commit | commitdiff | tree |
2021-05-02 |
Luke Kenneth Casson... | add deb-src
|
commit | commitdiff | tree |
2021-05-02 |
Luke Kenneth Casson... | nope, correct EOF, sort out apt-get install dependencies
|
commit | commitdiff | tree |
2021-05-02 |
Luke Kenneth Casson... | whoops missing EOF
|
commit | commitdiff | tree |
next |