Fix correct commit hash for release 0.7.0 of openXC7
[dev-env-setup.git] / symbiflow-install
1 #!/bin/bash
2 if [ "$EUID" -ne 0 ]
3 then echo "Please run as root using 'sudo bash'"
4 exit
5 fi
6
7 #!!! buster backports is needed for cmake
8 cat << EOF > /etc/apt/sources.list.d/buster_backports.list
9 deb http://deb.debian.org/debian buster-backports main
10 EOF
11
12 # oooo annoying, pin preferences for buster-backports
13 cat << EOF > /etc/apt/preferences.d/99buster-backports
14 Package: *
15 Pin: release a=buster-backports
16 Pin-Priority: 900
17 EOF
18
19 # upgrade to buster-backports
20 apt-get update -y
21 apt-get upgrade -y
22
23 # change into $SUDO_USER home directory
24 cd /home/$SUDO_USER
25 mkdir -p src/symbiflow
26 cd src/symbiflow
27
28 apt-get install -y libcurl3-gnutls/buster git/buster wget
29
30 git clone https://github.com/steveicarus/iverilog.git
31 git clone https://github.com/YosysHQ/yosys.git
32 git clone https://github.com/YosysHQ/abc.git
33 git clone https://github.com/oneapi-src/oneTBB.git
34 git clone https://github.com/verilog-to-routing/vtr-verilog-to-routing.git
35 git clone https://github.com/cliffordwolf/icestorm.git
36 git clone https://github.com/SymbiFlow/prjxray.git
37 git clone https://github.com/SymbiFlow/prjxray-db.git
38 git clone https://github.com/SymbiFlow/yosys-symbiflow-plugins.git
39 #git clone https://github.com/SymbiFlow/symbiflow-examples.git
40 git clone https://github.com/SymbiFlow/symbiflow-arch-defs.git
41 git clone https://github.com/QuickLogic-Corp/quicklogic-fasm.git
42 git clone https://github.com/QuickLogic-Corp/quicklogic-fasm-utils.git
43 git clone https://github.com/SymbiFlow/python-fpga-interchange.git
44 git clone https://github.com/capnproto/capnproto.git
45 git clone https://github.com/capnproto/pycapnp.git
46
47 wget http://xmlsoft.org/sources/libxml2-2.9.12.tar.gz
48 wget http://xmlsoft.org/sources/libxslt-1.1.34.tar.gz
49
50 ## Steps to compile Icarus Verilog
51
52 ### Necessary software to install
53
54 apt-get install -y build-essential autoconf make g++ bison flex gperf \
55 libreadline6-dev
56
57 ### Build Icarus Verilog
58
59 cd iverilog
60 git checkout v11_0
61 sh autoconf.sh
62 ./configure --prefix=/usr/local/symbiflow/iverilog
63 make -j$(nproc)
64 make check
65 make install
66 export PATH=/usr/local/symbiflow/iverilog/bin:$PATH
67 cd ..
68
69 ## Steps to compile Yosys
70
71 ### Necessary software to install
72
73 apt-get install -y cmake
74 apt-get install -y python3 clang libreadline-dev gawk tcl-dev \
75 libffi-dev pkg-config libboost-system-dev libboost-python-dev \
76 libboost-filesystem-dev zlib1g-dev
77
78 ### Build Yosys
79
80 cd abc
81 git checkout 4f5f73d18b137930fb3048c0b385c82fa078db38
82 cd ../yosys
83 git checkout f44110c62561b21fa673f44d8e115c3ee70d2900
84 ln -s ../abc .
85 make -j $(nproc) PREFIX=/usr/local/symbiflow/yosys
86 make PREFIX=/usr/local/symbiflow/yosys install
87 install -v -d -m 0755 /usr/local/symbiflow/share
88 ln -s ../yosys/share/yosys /usr/local/symbiflow/share
89 export PATH=/usr/local/symbiflow/yosys/bin:$PATH
90 cd ..
91
92 # NOTE: Option to choose TBB and build vtr-verilog-to-routing with or
93 # without or both. Set env var TBB_VTR.
94 # TBB_VTR=0 --- Do not build TBB and so build vtr without TBB
95 # TBB_VTR=1 --- Build TBB and build vtr with TBB only
96 # TBB_VTR=2 --- Build TBB and build one vtr without TBB and another with TBB
97 # Choose vtr without TBB as default
98 # TBB_VTR=3 --- Build TBB and build one vtr without TBB and another with TBB
99 # Choose vtr with TBB as default
100
101 export TBB_VTR=3
102
103 ## Steps to compile oneTBB
104
105 #Note: TBB is needed for multi-threading VTR-Verilog-to-routing
106 #If not need multi-threading do not build TBB and VTR with TBB
107
108 ### Necessary software to install
109
110 [ $TBB_VTR != 0 ] && apt-get install -y libhwloc-dev
111
112 ### Build TBB
113
114 if [ $TBB_VTR != 0 ]; then
115
116 cd oneTBB
117 git checkout v2020.3
118 make -j$(nproc) tbb tbbmalloc tbbproxy tbbbind
119 install -v -d -m 0755 /usr/local/symbiflow/oneTBB/lib
120 cp -dpr include /usr/local/symbiflow/oneTBB
121 install -v -Dm755 build/linux_*/*.so* -t /usr/local/symbiflow/oneTBB/lib
122 cmake -DINSTALL_DIR=/usr/local/symbiflow/oneTBB/lib/cmake/TBB \
123 -DTBB_VERSION_FILE=/usr/local/symbiflow/oneTBB/include/tbb/tbb_stddef.h \
124 -DSYSTEM_NAME=Linux -P cmake/tbb_config_installer.cmake
125 echo "/usr/local/symbiflow/oneTBB/lib" > /etc/ld.so.conf.d/symbiflow.conf
126 ldconfig
127 cd ..
128
129 fi
130
131 ## Steps to compile vtr-verilog-to-routing
132
133 ### Necessary software to install
134
135 apt-get install -y libeigen3-dev
136
137 # Only if want GUI place and route
138 #apt-get install libcairo2-dev libfontconfig1-dev libx11-dev libxft-dev \
139 #libgtk-3-dev
140
141 ### Build vtr
142
143 if [ $TBB_VTR != 1 ]; then
144
145 cd vtr-verilog-to-routing
146 git checkout 77a3df829fdf509a76b9d7b941376f3835c2576a
147 mkdir build; cd build
148 cmake -DCMAKE_INSTALL_PREFIX=/usr/local/symbiflow/vtr ..
149 make -j $(nproc)
150 make install
151 install -v -d -m 0755 /usr/local/symbiflow/share/vtr
152 install -v -m 0644 ../libs/libvtrcapnproto/gen/rr_graph_uxsdcxx.capnp /usr/local/symbiflow/share/vtr
153 [ $TBB_VTR == 0 ] || [ $TBB_VTR == 2 ] && \
154 export PATH=/usr/local/symbiflow/vtr/bin:$PATH
155 cd ../..
156
157 fi
158
159 ### Build vtr-tbb
160
161 if [ $TBB_VTR != 0 ]; then
162
163 cd vtr-verilog-to-routing
164 git checkout 77a3df829fdf509a76b9d7b941376f3835c2576a
165 mkdir build-tbb; cd build-tbb
166 cmake -DCMAKE_INSTALL_PREFIX=/usr/local/symbiflow/vtr-tbb \
167 -DTBB_INCLUDE_DIR=/usr/local/symbiflow/oneTBB/include \
168 -DTBB_LIBRARY=/usr/local/symbiflow/oneTBB/lib ..
169 LIBRARY_PATH=/usr/local/symbiflow/oneTBB/lib make -j $(nproc)
170 LIBRARY_PATH=/usr/local/symbiflow/oneTBB/lib make install
171 install -v -d -m 0755 /usr/local/symbiflow/share/vtr
172 install -v -m 0644 ../libs/libvtrcapnproto/gen/rr_graph_uxsdcxx.capnp \
173 /usr/local/symbiflow/share/vtr
174 # Choose either one of path for vtr-binaries
175 [ $TBB_VTR == 1 ] || [ $TBB_VTR == 3 ] && \
176 export PATH=/usr/local/symbiflow/vtr-tbb/bin:$PATH
177 cd ../..
178
179 fi
180
181 ## Steps to compile libxml2
182
183 # Newer version is needed which fixes a integer overflow error and is not
184 # provided by Buster.
185
186 ### Necessary software to install
187
188 apt-get install -y python3-dev
189
190 ### Build libxml2
191
192 tar -xf libxml2-2.9.12.tar.gz
193 cd libxml2-2.9.12
194 ./configure --prefix=/usr/local/symbiflow/libxml2 --with-history \
195 --with-python=/usr/bin/python3
196 make -j $(nproc)
197 make install
198 echo "/usr/local/symbiflow/libxml2/lib" >> /etc/ld.so.conf.d/symbiflow.conf
199 ldconfig
200 export PATH=/usr/local/symbiflow/libxml2/bin:$PATH
201 cd ..
202
203 ## Steps to compile libxslt
204
205 # Needed by python3 lxml.
206
207 ### Build libxslt
208
209 tar -xf libxslt-1.1.34.tar.gz
210 cd libxslt-1.1.34
211 sed -i s/3000/5000/ libxslt/transform.c doc/xsltproc.{1,xml}
212 sed -i -r '/max(Parser)?Depth/d' ./tests/fuzz/fuzz.c
213 ./configure --prefix=/usr/local/symbiflow/libxslt --disable-static \
214 --without-python PKG_CONFIG_PATH=/usr/local/symbiflow/libxml2/lib/pkgconfig
215 make -j $(nproc)
216 make install
217 echo "/usr/local/symbiflow/libxslt/lib" >> /etc/ld.so.conf.d/symbiflow.conf
218 ldconfig
219 export PATH=/usr/local/symbiflow/libxslt/bin:$PATH
220 cd ..
221
222 ## Steps to compile prjxray
223
224 ### Necessary software to install
225
226 ### Build prjxray
227
228 cd prjxray
229 git checkout f7f06896ad26bb7c94680dc323d4b871eded1f4e
230 git submodule update --init --recursive
231 mkdir build; cd build
232 cmake -DCMAKE_INSTALL_PREFIX=/usr/local/symbiflow ..
233 make -j$(nproc)
234 make install
235 export PATH=/usr/local/symbiflow/bin:$PATH
236 cd ../..
237
238 ## Steps to compile yosys-symbiflow-plugins
239
240 ### Build yosys-symbiflow-plugins
241
242 cd yosys-symbiflow-plugins
243 git checkout b170f1d3575568d87901422f846b700169e299be
244 sed -i -e 's@-include third_party/make-env/conda.mk@#-include third_party/make-env/conda.mk@' Makefile
245 make -j$(nproc)
246 make install
247 cd ..
248
249 ## Steps to compile prjxray-db
250
251 ### Install prjxray-db
252
253 cd prjxray-db
254 git archive --format=tar --prefix=prjxray-db/ \
255 cd41f08a8a4d2a60053750a0fe10623b1e2e35da | tar -C /usr/local/symbiflow -xf -
256
257 cat > /usr/local/symbiflow/bin/prjxray-config << EOF
258 #!/bin/bash
259 echo /usr/local/symbiflow/prjxray-db
260 EOF
261
262 chmod +x /usr/local/symbiflow/bin/prjxray-config
263 cd ..
264
265 ## Steps to compile Icestorm
266
267 ### Necessary software to install
268
269 apt-get install -y libftdi-dev
270
271 ### Build Icestorm
272
273 cd icestorm
274 git checkout 83b8ef947f77723f602b706eac16281e37de278c
275 make -j $(nproc) PREFIX=/usr/local/symbiflow/icestorm
276 make PREFIX=/usr/local/symbiflow/icestorm install
277 export PATH=/usr/local/symbiflow/icestorm/bin:$PATH
278 cd ..
279
280 ## Steps to compile capnproto
281
282 ### Necessary software to install
283
284 apt-get install -y automake autoconf libtool
285
286 cd capnproto
287 git checkout v0.8.0
288 cd c++
289 autoreconf -i
290 ./configure --prefix=/usr/local/symbiflow/capnproto
291 make -j $(nproc)
292 make install
293 echo "/usr/local/symbiflow/capnproto/lib" >> /etc/ld.so.conf.d/symbiflow.conf
294 ldconfig
295 export PATH=/usr/local/symbiflow/capnproto/bin:$PATH
296 cd ../..
297
298 ## Steps to compile pycapnp
299
300 ### Necessary software to install
301
302 apt-get install -y python3-pip cython3
303
304 cd pycapnp
305 git checkout v1.0.0b1
306 pip3 install .
307 cd ..
308
309 ## Git checkout python-fpga-interchange
310
311 cd python-fpga-interchange
312 git checkout 1959b40e998db987c604c0a75664ccb209df13f7
313 cd ..
314
315 ## Git checkout quicklogic-fasm-utils
316
317 cd quicklogic-fasm-utils
318 git checkout 3d6a375ddb6b55aaa5a59d99e44a207d4c18709f
319 cd ..
320
321 ## Git checkout quicklogic-fasm
322
323 cd quicklogic-fasm
324 git checkout ee546ff09b2ee25894db3f419366afaf3c4bfe32
325 cd ..
326
327 ## Steps to compile symbiflow-arch-defs
328
329 ## Necessary software to install
330
331 apt-get install -y nodejs npm python-pytest openocd flake8 python3-numpy \
332 python3-intervaltree python3-simplejson python3-intelhex \
333 python3-importlib-metadata python3-jsonschema python3-packaging \
334 python3-pyparsing python3-pyrsistent python3-tqdm python3-zipp \
335 python3-typing-extensions python3-ply
336
337 pip3 install textx fasm pyjson5 pyyaml tinyfpgab tinyprog pyjson lxml \
338 progressbar2 hilbertcurve==1.0.5 python-constraint parameterized \
339 yapf==0.26.0 python-sat
340
341 cd symbiflow-arch-defs
342 git checkout c2c0f00960baf538eb31e4c42bf5d09dca87bad7
343 git submodule init
344 git submodule update --init --recursive
345 pip3 install ../python-fpga-interchange
346 pip3 install -e third_party/prjxray
347 pip3 install -e third_party/xc-fasm
348 pip3 install ../quicklogic-fasm-utils
349 pip3 install ../quicklogic-fasm
350 pip3 install -e third_party/qlf-fasm
351 pip3 install -e quicklogic/common/utils/quicklogic-timings-importer
352 pip3 install -e third_party/vtr-xml-utils
353 pip3 install -e third_party/python-symbiflow-v2x
354 pip3 install -e third_party/python-sdf-timing
355 pip3 install -e third_party/symbiflow-xc-fasm2bels
356
357 mkdir -p env/conda/envs
358 ln -s /usr/local/symbiflow env/conda/envs/symbiflow_arch_def_base
359
360 sed -i -e 's@add_subdirectory(litex)@#add_subdirectory(litex)@g' \
361 -e 's@add_subdirectory(ibex)@#add_subdirectory(ibex)@g' \
362 xc/xc7/tests/soc/CMakeLists.txt
363
364 ### Build symbiflow-arch-defs-xc7-artix7-100t
365
366 export VPR_NUM_WORKERS=$(nproc)
367
368 mkdir build; cd build
369 cmake -DUSE_CONDA=FALSE -DPRJXRAY_DB_DIR=/usr/local/symbiflow/prjxray-db \
370 -DINSTALL_FAMILIES=xc7 -DINSTALL_ARCHS=artix7_100t \
371 -DINSTALL_DEVICES=xc7a100t -DCMAKE_INSTALL_PREFIX=/usr/local/symbiflow ..
372 cd xc/xc7/archs/artix7_100t
373 make install
374 cd ../..;
375 make PINMAP_INSTALL_arty100t-full_xc7a100t_test_arty100t-full_pinmap.csv
376 install -v -d -m 0755 /usr/local/symbiflow/share/symbiflow/arch/xc7a100t_test/xc7a100tcsg324-1
377 install -v -m 0644 arty100t-full_pinmap.csv /usr/local/symbiflow/share/symbiflow/arch/xc7a100t_test/xc7a100tcsg324-1/pinmap.csv
378 cd ../../../..
379
380 cd /home/$SUDO_USER/src/symbiflow
381 chown -R $SUDO_USER .
382 chgrp -R $SUDO_USER .
383