use copy of FHDLTestCase
[soc.git] / src / soc / decoder / formal / proof_decoder2.py
index 981a4d228ef406aa89f7f41595ef71269a308d9c..d36ec447dadb966a004bc628b21ba82538ed0427 100644 (file)
@@ -1,6 +1,6 @@
 from nmigen import Module, Signal, Elaboratable, Cat, Repl
 from nmigen.asserts import Assert, AnyConst
-from nmigen.test.utils import FHDLTestCase
+from nmutil.formaltest import FHDLTestCase
 
 from soc.decoder.power_decoder import create_pdecode, PowerOp
 from soc.decoder.power_enums import (In1Sel, In2Sel, In3Sel,