Present the ALU result only when valid_o is active
[soc.git] / NEWS.txt
1