allow M*-Form shiftrot to swap RS/RB back to consistent positions
[soc.git] / src / soc / decoder / power_decoder2.py
1 """Power ISA Decoder second stage
2
3 based on Anton Blanchard microwatt decode2.vhdl
4
5 """
6 from nmigen import Module, Elaboratable, Signal, Mux, Const, Cat, Repl, Record
7 from nmigen.cli import rtlil
8
9 from nmutil.iocontrol import RecordObject
10 from nmutil.extend import exts
11
12 from soc.decoder.power_decoder import create_pdecode
13 from soc.decoder.power_enums import (InternalOp, CryIn, Function,
14 CRInSel, CROutSel,
15 LdstLen, In1Sel, In2Sel, In3Sel,
16 OutSel, SPR, RC)
17
18
19 class DecodeA(Elaboratable):
20 """DecodeA from instruction
21
22 decodes register RA, whether immediate-zero, implicit and
23 explicit CSRs
24 """
25
26 def __init__(self, dec):
27 self.dec = dec
28 self.sel_in = Signal(In1Sel, reset_less=True)
29 self.insn_in = Signal(32, reset_less=True)
30 self.reg_out = Data(5, name="reg_a")
31 self.immz_out = Signal(reset_less=True)
32 self.spr_out = Data(10, "spr_a")
33
34 def elaborate(self, platform):
35 m = Module()
36 comb = m.d.comb
37
38 # select Register A field
39 ra = Signal(5, reset_less=True)
40 comb += ra.eq(self.dec.RA)
41 with m.If((self.sel_in == In1Sel.RA) |
42 ((self.sel_in == In1Sel.RA_OR_ZERO) &
43 (ra != Const(0, 5)))):
44 comb += self.reg_out.data.eq(ra)
45 comb += self.reg_out.ok.eq(1)
46
47 # zero immediate requested
48 with m.If((self.sel_in == In1Sel.RA_OR_ZERO) &
49 (self.reg_out.data == Const(0, 5))):
50 comb += self.immz_out.eq(1)
51
52 # decode SPR1 based on instruction type
53 op = self.dec.op
54 # BC or BCREG: potential implicit register (CTR)
55 with m.If((op.internal_op == InternalOp.OP_BC) |
56 (op.internal_op == InternalOp.OP_BCREG)):
57 with m.If(~self.dec.BO[2]): # 3.0B p38 BO2=0, use CTR reg
58 comb += self.spr_out.data.eq(SPR.CTR) # constant: CTR
59 comb += self.spr_out.ok.eq(1)
60 # MFSPR or MTSPR: move-from / move-to SPRs
61 with m.If((op.internal_op == InternalOp.OP_MFSPR) |
62 (op.internal_op == InternalOp.OP_MTSPR)):
63 comb += self.spr_out.data.eq(self.dec.SPR) # SPR field, XFX
64 comb += self.spr_out.ok.eq(1)
65
66 return m
67
68
69 class Data(Record):
70
71 def __init__(self, width, name):
72 name_ok = "%s_ok" % name
73 layout = ((name, width), (name_ok, 1))
74 Record.__init__(self, layout)
75 self.data = getattr(self, name) # convenience
76 self.ok = getattr(self, name_ok) # convenience
77 self.data.reset_less = True # grrr
78 self.reset_less = True # grrr
79
80 def ports(self):
81 return [self.data, self.ok]
82
83
84 class DecodeB(Elaboratable):
85 """DecodeB from instruction
86
87 decodes register RB, different forms of immediate (signed, unsigned),
88 and implicit SPRs. register B is basically "lane 2" into the CompUnits.
89 by industry-standard convention, "lane 2" is where fully-decoded
90 immediates are muxed in.
91 """
92
93 def __init__(self, dec):
94 self.dec = dec
95 self.sel_in = Signal(In2Sel, reset_less=True)
96 self.insn_in = Signal(32, reset_less=True)
97 self.reg_out = Data(5, "reg_b")
98 self.imm_out = Data(64, "imm_b")
99 self.spr_out = Data(10, "spr_b")
100
101 def elaborate(self, platform):
102 m = Module()
103 comb = m.d.comb
104
105 # select Register B field
106 with m.Switch(self.sel_in):
107 with m.Case(In2Sel.RB):
108 comb += self.reg_out.data.eq(self.dec.RB)
109 comb += self.reg_out.ok.eq(1)
110 with m.Case(In2Sel.RS):
111 comb += self.reg_out.data.eq(self.dec.RS) # for M-Form shiftrot
112 comb += self.reg_out.ok.eq(1)
113 with m.Case(In2Sel.CONST_UI):
114 comb += self.imm_out.data.eq(self.dec.UI)
115 comb += self.imm_out.ok.eq(1)
116 with m.Case(In2Sel.CONST_SI): # TODO: sign-extend here?
117 comb += self.imm_out.data.eq(
118 exts(self.dec.SI, 16, 64))
119 comb += self.imm_out.ok.eq(1)
120 with m.Case(In2Sel.CONST_UI_HI):
121 comb += self.imm_out.data.eq(self.dec.UI<<16)
122 comb += self.imm_out.ok.eq(1)
123 with m.Case(In2Sel.CONST_SI_HI): # TODO: sign-extend here?
124 comb += self.imm_out.data.eq(self.dec.SI<<16)
125 comb += self.imm_out.data.eq(
126 exts(self.dec.SI << 16, 32, 64))
127 comb += self.imm_out.ok.eq(1)
128 with m.Case(In2Sel.CONST_LI):
129 comb += self.imm_out.data.eq(self.dec.LI<<2)
130 comb += self.imm_out.ok.eq(1)
131 with m.Case(In2Sel.CONST_BD):
132 comb += self.imm_out.data.eq(self.dec.BD<<2)
133 comb += self.imm_out.ok.eq(1)
134 with m.Case(In2Sel.CONST_DS):
135 comb += self.imm_out.data.eq(self.dec.DS<<2)
136 comb += self.imm_out.ok.eq(1)
137 with m.Case(In2Sel.CONST_M1):
138 comb += self.imm_out.data.eq(~Const(0, 64)) # all 1s
139 comb += self.imm_out.ok.eq(1)
140 with m.Case(In2Sel.CONST_SH):
141 comb += self.imm_out.data.eq(self.dec.sh)
142 comb += self.imm_out.ok.eq(1)
143 with m.Case(In2Sel.CONST_SH32):
144 comb += self.imm_out.data.eq(self.dec.SH32)
145 comb += self.imm_out.ok.eq(1)
146
147 # decode SPR2 based on instruction type
148 op = self.dec.op
149 # BCREG implicitly uses CTR or LR for 2nd reg
150 with m.If(op.internal_op == InternalOp.OP_BCREG):
151 with m.If(self.dec.FormXL.XO[9]): # 3.0B p38 top bit of XO
152 comb += self.spr_out.data.eq(SPR.CTR)
153 with m.Else():
154 comb += self.spr_out.data.eq(SPR.LR)
155 comb += self.spr_out.ok.eq(1)
156
157 return m
158
159
160 class DecodeC(Elaboratable):
161 """DecodeC from instruction
162
163 decodes register RC. this is "lane 3" into some CompUnits (not many)
164 """
165
166 def __init__(self, dec):
167 self.dec = dec
168 self.sel_in = Signal(In3Sel, reset_less=True)
169 self.insn_in = Signal(32, reset_less=True)
170 self.reg_out = Data(5, "reg_c")
171
172 def elaborate(self, platform):
173 m = Module()
174 comb = m.d.comb
175
176 # select Register C field
177 with m.Switch(self.sel_in):
178 with m.Case(In3Sel.RB):
179 comb += self.reg_out.data.eq(self.dec.RB) # for M-Form shiftrot
180 comb += self.reg_out.ok.eq(1)
181 with m.Case(In3Sel.RS):
182 comb += self.reg_out.data.eq(self.dec.RS)
183 comb += self.reg_out.ok.eq(1)
184
185 return m
186
187
188 class DecodeOut(Elaboratable):
189 """DecodeOut from instruction
190
191 decodes output register RA, RT or SPR
192 """
193
194 def __init__(self, dec):
195 self.dec = dec
196 self.sel_in = Signal(OutSel, reset_less=True)
197 self.insn_in = Signal(32, reset_less=True)
198 self.reg_out = Data(5, "reg_o")
199 self.spr_out = Data(10, "spr_o")
200
201 def elaborate(self, platform):
202 m = Module()
203 comb = m.d.comb
204
205 # select Register out field
206 with m.Switch(self.sel_in):
207 with m.Case(OutSel.RT):
208 comb += self.reg_out.data.eq(self.dec.RT)
209 comb += self.reg_out.ok.eq(1)
210 with m.Case(OutSel.RA):
211 comb += self.reg_out.data.eq(self.dec.RA)
212 comb += self.reg_out.ok.eq(1)
213 with m.Case(OutSel.SPR):
214 comb += self.spr_out.data.eq(self.dec.SPR) # from XFX
215 comb += self.spr_out.ok.eq(1)
216
217 return m
218
219
220 class DecodeRC(Elaboratable):
221 """DecodeRc from instruction
222
223 decodes Record bit Rc
224 """
225 def __init__(self, dec):
226 self.dec = dec
227 self.sel_in = Signal(RC, reset_less=True)
228 self.insn_in = Signal(32, reset_less=True)
229 self.rc_out = Data(1, "rc")
230
231 def elaborate(self, platform):
232 m = Module()
233 comb = m.d.comb
234
235 # select Record bit out field
236 with m.Switch(self.sel_in):
237 with m.Case(RC.RC):
238 comb += self.rc_out.data.eq(self.dec.Rc)
239 comb += self.rc_out.ok.eq(1)
240 with m.Case(RC.ONE):
241 comb += self.rc_out.data.eq(1)
242 comb += self.rc_out.ok.eq(1)
243 with m.Case(RC.NONE):
244 comb += self.rc_out.data.eq(0)
245 comb += self.rc_out.ok.eq(1)
246
247 return m
248
249
250 class DecodeOE(Elaboratable):
251 """DecodeOE from instruction
252
253 decodes OE field: uses RC decode detection which might not be good
254
255 -- For now, use "rc" in the decode table to decide whether oe exists.
256 -- This is not entirely correct architecturally: For mulhd and
257 -- mulhdu, the OE field is reserved. It remains to be seen what an
258 -- actual POWER9 does if we set it on those instructions, for now we
259 -- test that further down when assigning to the multiplier oe input.
260 """
261 def __init__(self, dec):
262 self.dec = dec
263 self.sel_in = Signal(RC, reset_less=True)
264 self.insn_in = Signal(32, reset_less=True)
265 self.oe_out = Data(1, "oe")
266
267 def elaborate(self, platform):
268 m = Module()
269 comb = m.d.comb
270
271 # select OE bit out field
272 with m.Switch(self.sel_in):
273 with m.Case(RC.RC):
274 comb += self.oe_out.data.eq(self.dec.OE)
275 comb += self.oe_out.ok.eq(1)
276
277 return m
278
279 class DecodeCRIn(Elaboratable):
280 """Decodes input CR from instruction
281
282 CR indices - insn fields - (not the data *in* the CR) require only 3
283 bits because they refer to CR0-CR7
284 """
285
286 def __init__(self, dec):
287 self.dec = dec
288 self.sel_in = Signal(CRInSel, reset_less=True)
289 self.insn_in = Signal(32, reset_less=True)
290 self.cr_bitfield = Data(3, "cr_bitfield")
291 self.cr_bitfield_b = Data(3, "cr_bitfield_b")
292 self.cr_bitfield_o = Data(3, "cr_bitfield_o")
293 self.whole_reg = Signal(reset_less=True)
294
295 def elaborate(self, platform):
296 m = Module()
297 comb = m.d.comb
298
299 comb += self.cr_bitfield.ok.eq(0)
300 comb += self.cr_bitfield_b.ok.eq(0)
301 comb += self.whole_reg.eq(0)
302 with m.Switch(self.sel_in):
303 with m.Case(CRInSel.NONE):
304 pass # No bitfield activated
305 with m.Case(CRInSel.CR0):
306 comb += self.cr_bitfield.data.eq(0)
307 comb += self.cr_bitfield.ok.eq(1)
308 with m.Case(CRInSel.BI):
309 comb += self.cr_bitfield.data.eq(self.dec.BI[2:5])
310 comb += self.cr_bitfield.ok.eq(1)
311 with m.Case(CRInSel.BFA):
312 comb += self.cr_bitfield.data.eq(self.dec.FormX.BFA)
313 comb += self.cr_bitfield.ok.eq(1)
314 with m.Case(CRInSel.BA_BB):
315 comb += self.cr_bitfield.data.eq(self.dec.BA[2:5])
316 comb += self.cr_bitfield.ok.eq(1)
317 comb += self.cr_bitfield_b.data.eq(self.dec.BB[2:5])
318 comb += self.cr_bitfield_b.ok.eq(1)
319 comb += self.cr_bitfield_o.data.eq(self.dec.BT[2:5])
320 comb += self.cr_bitfield_o.ok.eq(1)
321 with m.Case(CRInSel.BC):
322 comb += self.cr_bitfield.data.eq(self.dec.BC[2:5])
323 comb += self.cr_bitfield.ok.eq(1)
324 with m.Case(CRInSel.WHOLE_REG):
325 comb += self.whole_reg.eq(1)
326
327 return m
328
329
330 class DecodeCROut(Elaboratable):
331 """Decodes input CR from instruction
332
333 CR indices - insn fields - (not the data *in* the CR) require only 3
334 bits because they refer to CR0-CR7
335 """
336
337 def __init__(self, dec):
338 self.dec = dec
339 self.rc_in = Signal(reset_less=True)
340 self.sel_in = Signal(CROutSel, reset_less=True)
341 self.insn_in = Signal(32, reset_less=True)
342 self.cr_bitfield = Data(3, "cr_bitfield")
343 self.whole_reg = Signal(reset_less=True)
344
345 def elaborate(self, platform):
346 m = Module()
347 comb = m.d.comb
348
349 comb += self.cr_bitfield.ok.eq(0)
350 comb += self.whole_reg.eq(0)
351 with m.Switch(self.sel_in):
352 with m.Case(CROutSel.NONE):
353 pass # No bitfield activated
354 with m.Case(CROutSel.CR0):
355 comb += self.cr_bitfield.data.eq(0)
356 comb += self.cr_bitfield.ok.eq(self.rc_in) # only when RC=1
357 with m.Case(CROutSel.BF):
358 comb += self.cr_bitfield.data.eq(self.dec.FormX.BF[0:-1])
359 comb += self.cr_bitfield.ok.eq(1)
360 with m.Case(CROutSel.BT):
361 comb += self.cr_bitfield.data.eq(self.dec.FormXL.BT[2:5])
362 comb += self.cr_bitfield.ok.eq(1)
363 with m.Case(CROutSel.WHOLE_REG):
364 comb += self.whole_reg.eq(1)
365
366 return m
367
368
369 class XerBits:
370 def __init__(self):
371 self.ca = Signal(2, reset_less=True)
372 self.ov = Signal(2, reset_less=True)
373 self.so = Signal(reset_less=True)
374
375 def ports(self):
376 return [self.ca, self.ov, self.so]
377
378
379 class Decode2ToExecute1Type(RecordObject):
380
381 def __init__(self, name=None):
382
383 RecordObject.__init__(self, name=name)
384
385 self.valid = Signal(reset_less=True)
386 self.insn_type = Signal(InternalOp, reset_less=True)
387 self.fn_unit = Signal(Function, reset_less=True)
388 self.nia = Signal(64, reset_less=True)
389 self.write_reg = Data(5, name="rego")
390 self.read_reg1 = Data(5, name="reg1")
391 self.read_reg2 = Data(5, name="reg2")
392 self.read_reg3 = Data(5, name="reg3")
393 self.imm_data = Data(64, name="imm")
394 self.write_spr = Data(10, name="spro")
395 self.read_spr1 = Data(10, name="spr1")
396 self.read_spr2 = Data(10, name="spr2")
397
398 self.read_cr1 = Data(3, name="cr_in1")
399 self.read_cr2 = Data(3, name="cr_in2")
400 self.read_cr3 = Data(3, name="cr_in2")
401 self.read_cr_whole = Signal(reset_less=True)
402 self.write_cr = Data(3, name="cr_out")
403 self.write_cr_whole = Signal(reset_less=True)
404 #self.read_data1 = Signal(64, reset_less=True)
405 #self.read_data2 = Signal(64, reset_less=True)
406 #self.read_data3 = Signal(64, reset_less=True)
407 #self.cr = Signal(32, reset_less=True) # NO: this is from the CR SPR
408 #self.xerc = XerBits() # NO: this is from the XER SPR
409 self.lk = Signal(reset_less=True)
410 self.rc = Data(1, "rc")
411 self.oe = Data(1, "oe")
412 self.invert_a = Signal(reset_less=True)
413 self.zero_a = Signal(reset_less=True)
414 self.invert_out = Signal(reset_less=True)
415 self.input_carry = Signal(CryIn, reset_less=True)
416 self.output_carry = Signal(reset_less=True)
417 self.input_cr = Signal(reset_less=True) # instr. has a CR as input
418 self.output_cr = Signal(reset_less=True) # instr. has a CR as output
419 self.is_32bit = Signal(reset_less=True)
420 self.is_signed = Signal(reset_less=True)
421 self.insn = Signal(32, reset_less=True)
422 self.data_len = Signal(4, reset_less=True) # bytes
423 self.byte_reverse = Signal(reset_less=True)
424 self.sign_extend = Signal(reset_less=True)# do we need this?
425 self.update = Signal(reset_less=True) # LD/ST is "update" variant
426
427
428 class PowerDecode2(Elaboratable):
429
430 def __init__(self, dec):
431
432 self.dec = dec
433 self.e = Decode2ToExecute1Type()
434
435 def ports(self):
436 return self.dec.ports() + self.e.ports()
437
438 def elaborate(self, platform):
439 m = Module()
440 comb = m.d.comb
441
442 # set up submodule decoders
443 m.submodules.dec = self.dec
444 m.submodules.dec_a = dec_a = DecodeA(self.dec)
445 m.submodules.dec_b = dec_b = DecodeB(self.dec)
446 m.submodules.dec_c = dec_c = DecodeC(self.dec)
447 m.submodules.dec_o = dec_o = DecodeOut(self.dec)
448 m.submodules.dec_rc = dec_rc = DecodeRC(self.dec)
449 m.submodules.dec_oe = dec_oe = DecodeOE(self.dec)
450 m.submodules.dec_cr_in = dec_cr_in = DecodeCRIn(self.dec)
451 m.submodules.dec_cr_out = dec_cr_out = DecodeCROut(self.dec)
452
453 # copy instruction through...
454 for i in [self.e.insn, dec_a.insn_in, dec_b.insn_in,
455 dec_c.insn_in, dec_o.insn_in, dec_rc.insn_in,
456 dec_oe.insn_in, dec_cr_in.insn_in, dec_cr_out.insn_in]:
457 comb += i.eq(self.dec.opcode_in)
458
459 # ...and subdecoders' input fields
460 comb += dec_a.sel_in.eq(self.dec.op.in1_sel)
461 comb += dec_b.sel_in.eq(self.dec.op.in2_sel)
462 comb += dec_c.sel_in.eq(self.dec.op.in3_sel)
463 comb += dec_o.sel_in.eq(self.dec.op.out_sel)
464 comb += dec_rc.sel_in.eq(self.dec.op.rc_sel)
465 comb += dec_oe.sel_in.eq(self.dec.op.rc_sel) # XXX should be OE sel
466 comb += dec_cr_in.sel_in.eq(self.dec.op.cr_in)
467 comb += dec_cr_out.sel_in.eq(self.dec.op.cr_out)
468 comb += dec_cr_out.rc_in.eq(dec_rc.rc_out.data)
469
470 # decode LD/ST length
471 with m.Switch(self.dec.op.ldst_len):
472 with m.Case(LdstLen.is1B):
473 comb += self.e.data_len.eq(1)
474 with m.Case(LdstLen.is2B):
475 comb += self.e.data_len.eq(2)
476 with m.Case(LdstLen.is4B):
477 comb += self.e.data_len.eq(4)
478 with m.Case(LdstLen.is8B):
479 comb += self.e.data_len.eq(8)
480
481 comb += self.e.nia.eq(0) # XXX TODO
482 comb += self.e.valid.eq(0) # XXX TODO
483 fu = self.dec.op.function_unit
484 itype = Mux(fu == Function.NONE,
485 InternalOp.OP_ILLEGAL,
486 self.dec.op.internal_op)
487 comb += self.e.insn_type.eq(itype)
488 comb += self.e.fn_unit.eq(fu)
489
490 # registers a, b, c and out
491 comb += self.e.read_reg1.eq(dec_a.reg_out)
492 comb += self.e.read_reg2.eq(dec_b.reg_out)
493 comb += self.e.read_reg3.eq(dec_c.reg_out)
494 comb += self.e.write_reg.eq(dec_o.reg_out)
495 comb += self.e.imm_data.eq(dec_b.imm_out) # immediate in RB (usually)
496 comb += self.e.zero_a.eq(dec_a.immz_out) # RA==0 detected
497
498 # rc and oe out
499 comb += self.e.rc.eq(dec_rc.rc_out)
500 comb += self.e.oe.eq(dec_oe.oe_out)
501
502 # SPRs out
503 comb += self.e.read_spr1.eq(dec_a.spr_out)
504 comb += self.e.read_spr2.eq(dec_b.spr_out)
505 comb += self.e.write_spr.eq(dec_o.spr_out)
506
507 comb += self.e.read_cr1.eq(dec_cr_in.cr_bitfield)
508 comb += self.e.read_cr2.eq(dec_cr_in.cr_bitfield_b)
509 comb += self.e.read_cr3.eq(dec_cr_in.cr_bitfield_o)
510 comb += self.e.read_cr_whole.eq(dec_cr_in.whole_reg)
511
512 comb += self.e.write_cr.eq(dec_cr_out.cr_bitfield)
513 comb += self.e.write_cr_whole.eq(dec_cr_out.whole_reg)
514
515 # decoded/selected instruction flags
516 comb += self.e.invert_a.eq(self.dec.op.inv_a)
517 comb += self.e.invert_out.eq(self.dec.op.inv_out)
518 comb += self.e.input_carry.eq(self.dec.op.cry_in) # carry comes in
519 comb += self.e.output_carry.eq(self.dec.op.cry_out) # carry goes out
520 comb += self.e.is_32bit.eq(self.dec.op.is_32b)
521 comb += self.e.is_signed.eq(self.dec.op.sgn)
522 with m.If(self.dec.op.lk):
523 comb += self.e.lk.eq(self.dec.LK) # XXX TODO: accessor
524
525 comb += self.e.byte_reverse.eq(self.dec.op.br)
526 comb += self.e.sign_extend.eq(self.dec.op.sgn_ext)
527 comb += self.e.update.eq(self.dec.op.upd) # LD/ST "update" mode
528
529
530
531 # These should be removed eventually
532 comb += self.e.input_cr.eq(self.dec.op.cr_in) # condition reg comes in
533 comb += self.e.output_cr.eq(self.dec.op.cr_out) # condition reg goes in
534
535
536 return m
537
538
539 if __name__ == '__main__':
540 pdecode = create_pdecode()
541 dec2 = PowerDecode2(pdecode)
542 vl = rtlil.convert(dec2, ports=dec2.ports() + pdecode.ports())
543 with open("dec2.il", "w") as f:
544 f.write(vl)
545