create lists of latches in each FU, to record the read/write register
[soc.git] / src / soc / simple / core.py
1 """simple core
2
3 not in any way intended for production use. connects up FunctionUnits to
4 Register Files in a brain-dead fashion that only permits one and only one
5 Function Unit to be operational.
6
7 the principle here is to take the Function Units, analyse their regspecs,
8 and turn their requirements for access to register file read/write ports
9 into groupings by Register File and Register File Port name.
10
11 under each grouping - by regfile/port - a list of Function Units that
12 need to connect to that port is created. as these are a contended
13 resource a "Broadcast Bus" per read/write port is then also created,
14 with access to it managed by a PriorityPicker.
15
16 the brain-dead part of this module is that even though there is no
17 conflict of access, regfile read/write hazards are *not* analysed,
18 and consequently it is safer to wait for the Function Unit to complete
19 before allowing a new instruction to proceed.
20 """
21
22 from nmigen import Elaboratable, Module, Signal, ResetSignal, Cat, Mux
23 from nmigen.cli import rtlil
24
25 from openpower.decoder.power_decoder2 import PowerDecodeSubset
26 from openpower.decoder.power_regspec_map import regspec_decode_read
27 from openpower.decoder.power_regspec_map import regspec_decode_write
28 from openpower.sv.svp64 import SVP64Rec
29
30 from nmutil.picker import PriorityPicker
31 from nmutil.util import treereduce
32 from nmutil.singlepipe import ControlBase
33
34 from soc.fu.compunits.compunits import AllFunctionUnits, LDSTFunctionUnit
35 from soc.regfile.regfiles import RegFiles
36 from openpower.decoder.power_decoder2 import get_rdflags
37 from soc.experiment.l0_cache import TstL0CacheBuffer # test only
38 from soc.config.test.test_loadstore import TestMemPspec
39 from openpower.decoder.power_enums import MicrOp, Function
40 from soc.simple.core_data import CoreInput, CoreOutput
41
42 from collections import defaultdict
43 import operator
44
45 from nmutil.util import rising_edge
46
47
48 # helper function for reducing a list of signals down to a parallel
49 # ORed single signal.
50 def ortreereduce(tree, attr="o_data"):
51 return treereduce(tree, operator.or_, lambda x: getattr(x, attr))
52
53
54 def ortreereduce_sig(tree):
55 return treereduce(tree, operator.or_, lambda x: x)
56
57
58 # helper function to place full regs declarations first
59 def sort_fuspecs(fuspecs):
60 res = []
61 for (regname, fspec) in fuspecs.items():
62 if regname.startswith("full"):
63 res.append((regname, fspec))
64 for (regname, fspec) in fuspecs.items():
65 if not regname.startswith("full"):
66 res.append((regname, fspec))
67 return res # enumerate(res)
68
69
70 # derive from ControlBase rather than have a separate Stage instance,
71 # this is simpler to do
72 class NonProductionCore(ControlBase):
73 def __init__(self, pspec):
74 self.pspec = pspec
75
76 # test is SVP64 is to be enabled
77 self.svp64_en = hasattr(pspec, "svp64") and (pspec.svp64 == True)
78
79 # test to see if regfile ports should be reduced
80 self.regreduce_en = (hasattr(pspec, "regreduce") and
81 (pspec.regreduce == True))
82
83 # test to see if overlapping of instructions is allowed
84 # (not normally enabled for TestIssuer FSM but useful for checking
85 # the bitvector hazard detection, before doing In-Order)
86 self.allow_overlap = (hasattr(pspec, "allow_overlap") and
87 (pspec.allow_overlap == True))
88
89 # test core type
90 self.make_hazard_vecs = True
91 self.core_type = "fsm"
92 if hasattr(pspec, "core_type"):
93 self.core_type = pspec.core_type
94
95 super().__init__(stage=self)
96
97 # single LD/ST funnel for memory access
98 self.l0 = l0 = TstL0CacheBuffer(pspec, n_units=1)
99 pi = l0.l0.dports[0]
100
101 # function units (only one each)
102 # only include mmu if enabled in pspec
103 self.fus = AllFunctionUnits(pspec, pilist=[pi])
104
105 # link LoadStore1 into MMU
106 mmu = self.fus.get_fu('mmu0')
107 print ("core pspec", pspec.ldst_ifacetype)
108 print ("core mmu", mmu)
109 if mmu is not None:
110 print ("core lsmem.lsi", l0.cmpi.lsmem.lsi)
111 mmu.alu.set_ldst_interface(l0.cmpi.lsmem.lsi)
112
113 # register files (yes plural)
114 self.regs = RegFiles(pspec, make_hazard_vecs=self.make_hazard_vecs)
115
116 # set up input and output: unusual requirement to set data directly
117 # (due to the way that the core is set up in a different domain,
118 # see TestIssuer.setup_peripherals
119 self.p.i_data, self.n.o_data = self.new_specs(None)
120 self.i, self.o = self.p.i_data, self.n.o_data
121
122 # actual internal input data used (captured)
123 self.ireg = self.ispec()
124
125 # create per-FU instruction decoders (subsetted). these "satellite"
126 # decoders reduce wire fan-out from the one (main) PowerDecoder2
127 # (used directly by the trap unit) to the *twelve* (or more)
128 # Function Units. we can either have 32 wires (the instruction)
129 # to each, or we can have well over a 200 wire fan-out (to 12
130 # ALUs). it's an easy choice to make.
131 self.decoders = {}
132 self.des = {}
133
134 for funame, fu in self.fus.fus.items():
135 f_name = fu.fnunit.name
136 fnunit = fu.fnunit.value
137 opkls = fu.opsubsetkls
138 if f_name == 'TRAP':
139 # TRAP decoder is the *main* decoder
140 self.trapunit = funame
141 continue
142 self.decoders[funame] = PowerDecodeSubset(None, opkls, f_name,
143 final=True,
144 state=self.ireg.state,
145 svp64_en=self.svp64_en,
146 regreduce_en=self.regreduce_en)
147 self.des[funame] = self.decoders[funame].do
148
149 # share the SPR decoder with the MMU if it exists
150 if "mmu0" in self.decoders:
151 self.decoders["mmu0"].mmu0_spr_dec = self.decoders["spr0"]
152
153 # next 3 functions are Stage API Compliance
154 def setup(self, m, i):
155 pass
156
157 def ispec(self):
158 return CoreInput(self.pspec, self.svp64_en, self.regreduce_en)
159
160 def ospec(self):
161 return CoreOutput()
162
163 # elaborate function to create HDL
164 def elaborate(self, platform):
165 m = super().elaborate(platform)
166
167 # for testing purposes, to cut down on build time in coriolis2
168 if hasattr(self.pspec, "nocore") and self.pspec.nocore == True:
169 x = Signal() # dummy signal
170 m.d.sync += x.eq(~x)
171 return m
172 comb = m.d.comb
173
174 m.submodules.fus = self.fus
175 m.submodules.l0 = l0 = self.l0
176 self.regs.elaborate_into(m, platform)
177 regs = self.regs
178 fus = self.fus.fus
179
180 # connect decoders
181 self.connect_satellite_decoders(m)
182
183 # ssh, cheat: trap uses the main decoder because of the rewriting
184 self.des[self.trapunit] = self.ireg.e.do
185
186 # connect up Function Units, then read/write ports, and hazard conflict
187 issue_conflict = Signal()
188 fu_bitdict, fu_selected = self.connect_instruction(m, issue_conflict)
189 raw_hazard = self.connect_rdports(m, fu_selected)
190 self.connect_wrports(m, fu_selected)
191 comb += issue_conflict.eq(raw_hazard)
192
193 # note if an exception happened. in a pipelined or OoO design
194 # this needs to be accompanied by "shadowing" (or stalling)
195 el = []
196 for exc in self.fus.excs.values():
197 el.append(exc.happened)
198 if len(el) > 0: # at least one exception
199 comb += self.o.exc_happened.eq(Cat(*el).bool())
200
201 return m
202
203 def connect_satellite_decoders(self, m):
204 comb = m.d.comb
205 for k, v in self.decoders.items():
206 # connect each satellite decoder and give it the instruction.
207 # as subset decoders this massively reduces wire fanout given
208 # the large number of ALUs
209 setattr(m.submodules, "dec_%s" % v.fn_name, v)
210 comb += v.dec.raw_opcode_in.eq(self.ireg.raw_insn_i)
211 comb += v.dec.bigendian.eq(self.ireg.bigendian_i)
212 # sigh due to SVP64 RA_OR_ZERO detection connect these too
213 comb += v.sv_a_nz.eq(self.ireg.sv_a_nz)
214 if self.svp64_en:
215 comb += v.pred_sm.eq(self.ireg.sv_pred_sm)
216 comb += v.pred_dm.eq(self.ireg.sv_pred_dm)
217 if k != self.trapunit:
218 comb += v.sv_rm.eq(self.ireg.sv_rm) # pass through SVP64 RM
219 comb += v.is_svp64_mode.eq(self.ireg.is_svp64_mode)
220 # only the LDST PowerDecodeSubset *actually* needs to
221 # know to use the alternative decoder. this is all
222 # a terrible hack
223 if k.lower().startswith("ldst"):
224 comb += v.use_svp64_ldst_dec.eq(
225 self.ireg.use_svp64_ldst_dec)
226
227 def connect_instruction(self, m, issue_conflict):
228 """connect_instruction
229
230 uses decoded (from PowerOp) function unit information from CSV files
231 to ascertain which Function Unit should deal with the current
232 instruction.
233
234 some (such as OP_ATTN, OP_NOP) are dealt with here, including
235 ignoring it and halting the processor. OP_NOP is a bit annoying
236 because the issuer expects busy flag still to be raised then lowered.
237 (this requires a fake counter to be set).
238 """
239 comb, sync = m.d.comb, m.d.sync
240 fus = self.fus.fus
241
242 # indicate if core is busy
243 busy_o = self.o.busy_o
244
245 # connect up temporary copy of incoming instruction. the FSM will
246 # either blat the incoming instruction (if valid) into self.ireg
247 # or if the instruction could not be delivered, keep dropping the
248 # latched copy into ireg
249 ilatch = self.ispec()
250 self.instruction_active = Signal()
251
252 # enable/busy-signals for each FU, get one bit for each FU (by name)
253 fu_enable = Signal(len(fus), reset_less=True)
254 fu_busy = Signal(len(fus), reset_less=True)
255 fu_bitdict = {}
256 fu_selected = {}
257 for i, funame in enumerate(fus.keys()):
258 fu_bitdict[funame] = fu_enable[i]
259 fu_selected[funame] = fu_busy[i]
260
261 # identify function units and create a list by fnunit so that
262 # PriorityPickers can be created for selecting one of them that
263 # isn't busy at the time the incoming instruction needs passing on
264 by_fnunit = defaultdict(list)
265 for fname, member in Function.__members__.items():
266 for funame, fu in fus.items():
267 fnunit = fu.fnunit.value
268 if member.value & fnunit: # this FU handles this type of op
269 by_fnunit[fname].append((funame, fu)) # add by Function
270
271 # ok now just print out the list of FUs by Function, because we can
272 for fname, fu_list in by_fnunit.items():
273 print ("FUs by type", fname, fu_list)
274
275 # now create a PriorityPicker per FU-type such that only one
276 # non-busy FU will be picked
277 issue_pps = {}
278 fu_found = Signal() # take a note if no Function Unit was available
279 for fname, fu_list in by_fnunit.items():
280 i_pp = PriorityPicker(len(fu_list))
281 m.submodules['i_pp_%s' % fname] = i_pp
282 i_l = []
283 for i, (funame, fu) in enumerate(fu_list):
284 # match the decoded instruction (e.do.fn_unit) against the
285 # "capability" of this FU, gate that by whether that FU is
286 # busy, and drop that into the PriorityPicker.
287 # this will give us an output of the first available *non-busy*
288 # Function Unit (Reservation Statio) capable of handling this
289 # instruction.
290 fnunit = fu.fnunit.value
291 en_req = Signal(name="issue_en_%s" % funame, reset_less=True)
292 fnmatch = (self.ireg.e.do.fn_unit & fnunit).bool()
293 comb += en_req.eq(fnmatch & ~fu.busy_o & self.instruction_active)
294 i_l.append(en_req) # store in list for doing the Cat-trick
295 # picker output, gated by enable: store in fu_bitdict
296 po = Signal(name="o_issue_pick_"+funame) # picker output
297 comb += po.eq(i_pp.o[i] & i_pp.en_o)
298 comb += fu_bitdict[funame].eq(po)
299 comb += fu_selected[funame].eq(fu.busy_o | po)
300 # if we don't do this, then when there are no FUs available,
301 # the "p.o_ready" signal will go back "ok we accepted this
302 # instruction" which of course isn't true.
303 with m.If(~issue_conflict & i_pp.en_o):
304 comb += fu_found.eq(1)
305 # for each input, Cat them together and drop them into the picker
306 comb += i_pp.i.eq(Cat(*i_l))
307
308 # sigh - need a NOP counter
309 counter = Signal(2)
310 with m.If(counter != 0):
311 sync += counter.eq(counter - 1)
312 comb += busy_o.eq(1)
313
314 # default to reading from incoming instruction: may be overridden
315 # by copy from latch when "waiting"
316 comb += self.ireg.eq(self.i)
317 # always say "ready" except if overridden
318 comb += self.p.o_ready.eq(1)
319
320 l_issue_conflict = Signal()
321
322 with m.FSM():
323 with m.State("READY"):
324 with m.If(self.p.i_valid): # run only when valid
325 comb += self.instruction_active.eq(1)
326 with m.Switch(self.ireg.e.do.insn_type):
327 # check for ATTN: halt if true
328 with m.Case(MicrOp.OP_ATTN):
329 m.d.sync += self.o.core_terminate_o.eq(1)
330
331 # fake NOP - this isn't really used (Issuer detects NOP)
332 with m.Case(MicrOp.OP_NOP):
333 sync += counter.eq(2)
334 comb += busy_o.eq(1)
335
336 with m.Default():
337 comb += self.p.o_ready.eq(0)
338 # connect instructions. only one enabled at a time
339 for funame, fu in fus.items():
340 do = self.des[funame]
341 enable = fu_bitdict[funame]
342
343 # run this FunctionUnit if enabled route op,
344 # issue, busy, read flags and mask to FU
345 with m.If(enable & fu_found):
346 # operand comes from the *local* decoder
347 comb += fu.oper_i.eq_from(do)
348 comb += fu.issue_i.eq(1) # issue when valid
349 # rdmask, which is for registers,
350 # needs to come
351 # from the *main* decoder
352 rdmask = get_rdflags(self.ireg.e, fu)
353 comb += fu.rdmaskn.eq(~rdmask)
354 # instruction ok, indicate ready
355 comb += self.p.o_ready.eq(1)
356
357 with m.If(~fu_found):
358 # latch copy of instruction
359 sync += ilatch.eq(self.i)
360 sync += l_issue_conflict.eq(issue_conflict)
361 comb += self.p.o_ready.eq(1) # accept
362 comb += busy_o.eq(1)
363 m.next = "WAITING"
364
365 with m.State("WAITING"):
366 comb += self.instruction_active.eq(1)
367 with m.If(fu_found):
368 sync += l_issue_conflict.eq(0)
369 comb += self.p.o_ready.eq(0)
370 comb += busy_o.eq(1)
371 # using copy of instruction, keep waiting until an FU is free
372 comb += self.ireg.eq(ilatch)
373 with m.If(~l_issue_conflict): # wait for conflict to clear
374 # connect instructions. only one enabled at a time
375 for funame, fu in fus.items():
376 do = self.des[funame]
377 enable = fu_bitdict[funame]
378
379 # run this FunctionUnit if enabled route op,
380 # issue, busy, read flags and mask to FU
381 with m.If(enable):
382 # operand comes from the *local* decoder
383 comb += fu.oper_i.eq_from(do)
384 comb += fu.issue_i.eq(1) # issue when valid
385 # rdmask, which is for registers,
386 # needs to come
387 # from the *main* decoder
388 rdmask = get_rdflags(self.ireg.e, fu)
389 comb += fu.rdmaskn.eq(~rdmask)
390 comb += self.p.o_ready.eq(1)
391 comb += busy_o.eq(0)
392 m.next = "READY"
393
394 print ("core: overlap allowed", self.allow_overlap)
395 if not self.allow_overlap:
396 # for simple non-overlap, if any instruction is busy, set
397 # busy output for core.
398 busys = map(lambda fu: fu.busy_o, fus.values())
399 comb += busy_o.eq(Cat(*busys).bool())
400
401 # return both the function unit "enable" dict as well as the "busy".
402 # the "busy-or-issued" can be passed in to the Read/Write port
403 # connecters to give them permission to request access to regfiles
404 return fu_bitdict, fu_selected
405
406 def connect_rdport(self, m, fu_bitdict, rdpickers, regfile, regname, fspec):
407 comb, sync = m.d.comb, m.d.sync
408 fus = self.fus.fus
409 regs = self.regs
410
411 rpidx = regname
412
413 # select the required read port. these are pre-defined sizes
414 rfile = regs.rf[regfile.lower()]
415 rport = rfile.r_ports[rpidx]
416 print("read regfile", rpidx, regfile, regs.rf.keys(),
417 rfile, rfile.unary)
418
419 # for checking if the read port has an outstanding write
420 if self.make_hazard_vecs:
421 wv = regs.wv[regfile.lower()]
422 wvchk = wv.r_ports["issue"] # write-vec bit-level hazard check
423
424 fspecs = fspec
425 if not isinstance(fspecs, list):
426 fspecs = [fspecs]
427
428 rdflags = []
429 pplen = 0
430 reads = []
431 ppoffs = []
432 for i, fspec in enumerate(fspecs):
433 # get the regfile specs for this regfile port
434 (rf, wf, read, write, wid, fuspec) = fspec
435 print ("fpsec", i, fspec, len(fuspec))
436 ppoffs.append(pplen) # record offset for picker
437 pplen += len(fuspec)
438 name = "rdflag_%s_%s_%d" % (regfile, regname, i)
439 rdflag = Signal(name=name, reset_less=True)
440 comb += rdflag.eq(rf)
441 rdflags.append(rdflag)
442 reads.append(read)
443
444 print ("pplen", pplen)
445
446 # create a priority picker to manage this port
447 rdpickers[regfile][rpidx] = rdpick = PriorityPicker(pplen)
448 setattr(m.submodules, "rdpick_%s_%s" % (regfile, rpidx), rdpick)
449
450 rens = []
451 addrs = []
452 wvens = []
453
454 for i, fspec in enumerate(fspecs):
455 (rf, wf, read, write, wid, fuspec) = fspec
456 # connect up the FU req/go signals, and the reg-read to the FU
457 # and create a Read Broadcast Bus
458 for pi, (funame, fu, idx) in enumerate(fuspec):
459 pi += ppoffs[i]
460
461 # connect request-read to picker input, and output to go-rd
462 fu_active = fu_bitdict[funame]
463 name = "%s_%s_%s_%i" % (regfile, rpidx, funame, pi)
464 addr_en = Signal.like(reads[i], name="addr_en_"+name)
465 pick = Signal(name="pick_"+name) # picker input
466 rp = Signal(name="rp_"+name) # picker output
467 delay_pick = Signal(name="dp_"+name) # read-enable "underway"
468
469 # exclude any currently-enabled read-request (mask out active)
470 comb += pick.eq(fu.rd_rel_o[idx] & fu_active & rdflags[i] &
471 ~delay_pick)
472 comb += rdpick.i[pi].eq(pick)
473 comb += fu.go_rd_i[idx].eq(delay_pick) # pass in *delayed* pick
474
475 # if picked, select read-port "reg select" number to port
476 comb += rp.eq(rdpick.o[pi] & rdpick.en_o)
477 sync += delay_pick.eq(rp) # delayed "pick"
478 comb += addr_en.eq(Mux(rp, reads[i], 0))
479
480 # the read-enable happens combinatorially (see mux-bus below)
481 # but it results in the data coming out on a one-cycle delay.
482 if rfile.unary:
483 rens.append(addr_en)
484 else:
485 addrs.append(addr_en)
486 rens.append(rp)
487
488 # use the *delayed* pick signal to put requested data onto bus
489 with m.If(delay_pick):
490 # connect regfile port to input, creating fan-out Bus
491 src = fu.src_i[idx]
492 print("reg connect widths",
493 regfile, regname, pi, funame,
494 src.shape(), rport.o_data.shape())
495 # all FUs connect to same port
496 comb += src.eq(rport.o_data)
497
498 if not self.make_hazard_vecs:
499 continue
500
501 # read the write-hazard bitvector (wv) for any bit that is
502 wvchk_en = Signal(len(wvchk.ren), name="wv_chk_addr_en_"+name)
503 issue_active = Signal(name="rd_iactive_"+name)
504 comb += issue_active.eq(self.instruction_active & rdflags[i])
505 with m.If(issue_active):
506 if rfile.unary:
507 comb += wvchk_en.eq(reads[i])
508 else:
509 comb += wvchk_en.eq(1<<reads[i])
510 wvens.append(wvchk_en)
511
512 # or-reduce the muxed read signals
513 if rfile.unary:
514 # for unary-addressed
515 comb += rport.ren.eq(ortreereduce_sig(rens))
516 else:
517 # for binary-addressed
518 comb += rport.addr.eq(ortreereduce_sig(addrs))
519 comb += rport.ren.eq(Cat(*rens).bool())
520 print ("binary", regfile, rpidx, rport, rport.ren, rens, addrs)
521
522 if not self.make_hazard_vecs:
523 return Const(0) # declare "no hazards"
524
525 # enable the read bitvectors for this issued instruction
526 # and return whether any write-hazard bit is set
527 comb += wvchk.ren.eq(ortreereduce_sig(wvens))
528 hazard_detected = Signal(name="raw_%s_%s" % (regfile, rpidx))
529 comb += hazard_detected.eq(wvchk.o_data.bool())
530 return hazard_detected
531
532 def connect_rdports(self, m, fu_bitdict):
533 """connect read ports
534
535 orders the read regspecs into a dict-of-dicts, by regfile, by
536 regport name, then connects all FUs that want that regport by
537 way of a PriorityPicker.
538 """
539 comb, sync = m.d.comb, m.d.sync
540 fus = self.fus.fus
541 regs = self.regs
542 rd_hazard = []
543
544 # dictionary of lists of regfile read ports
545 byregfiles_rd, byregfiles_rdspec = self.get_byregfiles(True)
546
547 # okaay, now we need a PriorityPicker per regfile per regfile port
548 # loootta pickers... peter piper picked a pack of pickled peppers...
549 rdpickers = {}
550 for regfile, spec in byregfiles_rd.items():
551 fuspecs = byregfiles_rdspec[regfile]
552 rdpickers[regfile] = {}
553
554 # argh. an experiment to merge RA and RB in the INT regfile
555 # (we have too many read/write ports)
556 if self.regreduce_en:
557 if regfile == 'INT':
558 fuspecs['rabc'] = [fuspecs.pop('rb')]
559 fuspecs['rabc'].append(fuspecs.pop('rc'))
560 fuspecs['rabc'].append(fuspecs.pop('ra'))
561 if regfile == 'FAST':
562 fuspecs['fast1'] = [fuspecs.pop('fast1')]
563 if 'fast2' in fuspecs:
564 fuspecs['fast1'].append(fuspecs.pop('fast2'))
565 if 'fast3' in fuspecs:
566 fuspecs['fast1'].append(fuspecs.pop('fast3'))
567
568 # for each named regfile port, connect up all FUs to that port
569 # also return (and collate) hazard detection)
570 for (regname, fspec) in sort_fuspecs(fuspecs):
571 print("connect rd", regname, fspec)
572 rh = self.connect_rdport(m, fu_bitdict, rdpickers, regfile,
573 regname, fspec)
574 rd_hazard.append(rh)
575
576 return Cat(*rd_hazard).bool()
577
578 def make_hazards(self, m, regfile, rfile, wvclr, wvset,
579 funame, regname, idx,
580 addr_en, wp, fu, fu_active, wrflag, write,
581 fu_wrok):
582 """make_hazards: a setter and a clearer for the regfile write ports
583
584 setter is at issue time (using PowerDecoder2 regfile write numbers)
585 clearer is at regfile write time (when FU has said what to write to)
586
587 there is *one* unusual case here which has to be dealt with:
588 when the Function Unit does *NOT* request a write to the regfile
589 (has its data.ok bit CLEARED). this is perfectly legitimate.
590 and a royal pain.
591 """
592 comb, sync = m.d.comb, m.d.sync
593 name = "%s_%s_%d" % (funame, regname, idx)
594
595 # connect up the bitvector write hazard. unlike the
596 # regfile writeports, a ONE must be written to the corresponding
597 # bit of the hazard bitvector (to indicate the existence of
598 # the hazard)
599
600 # the detection of what shall be written to is based
601 # on *issue*
602 print ("write vector (for regread)", regfile, wvset)
603 wviaddr_en = Signal(len(wvset.wen), name="wv_issue_addr_en_"+name)
604 issue_active = Signal(name="iactive_"+name)
605 comb += issue_active.eq(fu.issue_i & fu_active & wrflag)
606 with m.If(issue_active):
607 if rfile.unary:
608 comb += wviaddr_en.eq(write)
609 else:
610 comb += wviaddr_en.eq(1<<write)
611
612 # deal with write vector clear: this kicks in when the regfile
613 # is written to, and clears the corresponding bitvector entry
614 print ("write vector", regfile, wvclr)
615 wvaddr_en = Signal(len(wvclr.wen), name="wvaddr_en_"+name)
616 if rfile.unary:
617 comb += wvaddr_en.eq(addr_en)
618 else:
619 with m.If(wp):
620 comb += wvaddr_en.eq(1<<addr_en)
621
622 # XXX ASSUME that LDSTFunctionUnit always sets the data it intends to
623 # this may NOT be the case when an exception occurs
624 if isinstance(fu, LDSTFunctionUnit):
625 return wvaddr_en, wviaddr_en
626
627 # okaaay, this is preparation for the awkward case.
628 # * latch a copy of wrflag when issue goes high.
629 # * when the fu_wrok (data.ok) flag is NOT set,
630 # but the FU is done, the FU is NEVER going to write
631 # so the bitvector has to be cleared.
632 latch_wrflag = Signal(name="latch_wrflag_"+name)
633 with m.If(~fu.busy_o):
634 sync += latch_wrflag.eq(0)
635 with m.If(fu.issue_i & fu_active):
636 sync += latch_wrflag.eq(wrflag)
637 with m.If(fu.alu_done_o & latch_wrflag & ~fu_wrok):
638 if rfile.unary:
639 comb += wvaddr_en.eq(write) # addr_en gated with wp, don't use
640 else:
641 comb += wvaddr_en.eq(1<<addr_en) # binary addr_en not gated
642
643 return wvaddr_en, wviaddr_en
644
645 def connect_wrport(self, m, fu_bitdict, wrpickers, regfile, regname, fspec):
646 comb, sync = m.d.comb, m.d.sync
647 fus = self.fus.fus
648 regs = self.regs
649
650 rpidx = regname
651
652 # select the required write port. these are pre-defined sizes
653 rfile = regs.rf[regfile.lower()]
654 wport = rfile.w_ports[rpidx]
655
656 print("connect wr", regname, "unary", rfile.unary, fspec)
657 print(regfile, regs.rf.keys())
658
659 # select the write-protection hazard vector. note that this still
660 # requires to WRITE to the hazard bitvector! read-requests need
661 # to RAISE the bitvector (set it to 1), which, duh, requires a WRITE
662 if self.make_hazard_vecs:
663 wv = regs.wv[regfile.lower()]
664 wvset = wv.w_ports["set"] # write-vec bit-level hazard ctrl
665 wvclr = wv.w_ports["clr"] # write-vec bit-level hazard ctrl
666
667 fspecs = fspec
668 if not isinstance(fspecs, list):
669 fspecs = [fspecs]
670
671 pplen = 0
672 writes = []
673 ppoffs = []
674 rdflags = []
675 wrflags = []
676 for i, fspec in enumerate(fspecs):
677 # get the regfile specs for this regfile port
678 (rf, wf, read, write, wid, fuspec) = fspec
679 print ("fpsec", i, "wrflag", wf, fspec, len(fuspec))
680 ppoffs.append(pplen) # record offset for picker
681 pplen += len(fuspec)
682
683 name = "%s_%s_%d" % (regfile, regname, i)
684 rdflag = Signal(name="rd_flag_"+name)
685 wrflag = Signal(name="wr_flag_"+name)
686 if rf is not None:
687 comb += rdflag.eq(rf)
688 else:
689 comb += rdflag.eq(0)
690 if wf is not None:
691 comb += wrflag.eq(wf)
692 else:
693 comb += wrflag.eq(0)
694 rdflags.append(rdflag)
695 wrflags.append(wrflag)
696
697 # create a priority picker to manage this port
698 wrpickers[regfile][rpidx] = wrpick = PriorityPicker(pplen)
699 setattr(m.submodules, "wrpick_%s_%s" % (regfile, rpidx), wrpick)
700
701 wsigs = []
702 wens = []
703 wvsets = []
704 wvseten = []
705 wvclren = []
706 addrs = []
707 for i, fspec in enumerate(fspecs):
708 # connect up the FU req/go signals and the reg-read to the FU
709 # these are arbitrated by Data.ok signals
710 (rf, wf, read, _write, wid, fuspec) = fspec
711 wrname = "write_%s_%s_%d" % (regfile, regname, i)
712 write = Signal.like(_write, name=wrname)
713 comb += write.eq(_write)
714 for pi, (funame, fu, idx) in enumerate(fuspec):
715 pi += ppoffs[i]
716
717 # write-request comes from dest.ok
718 dest = fu.get_out(idx)
719 fu_dest_latch = fu.get_fu_out(idx) # latched output
720 name = "fu_wrok_%s_%s_%d" % (funame, regname, idx)
721 fu_wrok = Signal(name=name, reset_less=True)
722 comb += fu_wrok.eq(dest.ok & fu.busy_o)
723
724 # connect request-write to picker input, and output to go-wr
725 fu_active = fu_bitdict[funame]
726 pick = fu.wr.rel_o[idx] & fu_active
727 comb += wrpick.i[pi].eq(pick)
728 # create a single-pulse go write from the picker output
729 wr_pick = Signal(name="wpick_%s_%s_%d" % (funame, regname, idx))
730 comb += wr_pick.eq(wrpick.o[pi] & wrpick.en_o)
731 comb += fu.go_wr_i[idx].eq(rising_edge(m, wr_pick))
732
733 # connect the regspec write "reg select" number to this port
734 # only if one FU actually requests (and is granted) the port
735 # will the write-enable be activated
736 wname = "waddr_en_%s_%s_%d" % (funame, regname, idx)
737 addr_en = Signal.like(write, name=wname)
738 wp = Signal()
739 comb += wp.eq(wr_pick & wrpick.en_o)
740 comb += addr_en.eq(Mux(wp, write, 0))
741 if rfile.unary:
742 wens.append(addr_en)
743 else:
744 addrs.append(addr_en)
745 wens.append(wp)
746
747 # connect regfile port to input
748 print("reg connect widths",
749 regfile, regname, pi, funame,
750 dest.shape(), wport.i_data.shape())
751 wsigs.append(fu_dest_latch)
752
753 # now connect up the bitvector write hazard
754 if not self.make_hazard_vecs:
755 continue
756 res = self.make_hazards(m, regfile, rfile, wvclr, wvset,
757 funame, regname, idx,
758 addr_en, wp, fu, fu_active,
759 wrflags[i], write, fu_wrok)
760 wvaddr_en, wv_issue_en = res
761 wvclren.append(wvaddr_en) # set only: no data => clear bit
762 wvseten.append(wv_issue_en) # set data same as enable
763 wvsets.append(wv_issue_en) # because enable needs a 1
764
765 # here is where we create the Write Broadcast Bus. simple, eh?
766 comb += wport.i_data.eq(ortreereduce_sig(wsigs))
767 if rfile.unary:
768 # for unary-addressed
769 comb += wport.wen.eq(ortreereduce_sig(wens))
770 else:
771 # for binary-addressed
772 comb += wport.addr.eq(ortreereduce_sig(addrs))
773 comb += wport.wen.eq(ortreereduce_sig(wens))
774
775 if not self.make_hazard_vecs:
776 return
777
778 # for write-vectors
779 comb += wvclr.wen.eq(ortreereduce_sig(wvclren)) # clear (regfile write)
780 comb += wvset.wen.eq(ortreereduce_sig(wvseten)) # set (issue time)
781 comb += wvset.i_data.eq(ortreereduce_sig(wvsets))
782
783 def connect_wrports(self, m, fu_bitdict):
784 """connect write ports
785
786 orders the write regspecs into a dict-of-dicts, by regfile,
787 by regport name, then connects all FUs that want that regport
788 by way of a PriorityPicker.
789
790 note that the write-port wen, write-port data, and go_wr_i all need to
791 be on the exact same clock cycle. as there is a combinatorial loop bug
792 at the moment, these all use sync.
793 """
794 comb, sync = m.d.comb, m.d.sync
795 fus = self.fus.fus
796 regs = self.regs
797 # dictionary of lists of regfile write ports
798 byregfiles_wr, byregfiles_wrspec = self.get_byregfiles(False)
799
800 # same for write ports.
801 # BLECH! complex code-duplication! BLECH!
802 wrpickers = {}
803 for regfile, spec in byregfiles_wr.items():
804 fuspecs = byregfiles_wrspec[regfile]
805 wrpickers[regfile] = {}
806
807 if self.regreduce_en:
808 # argh, more port-merging
809 if regfile == 'INT':
810 fuspecs['o'] = [fuspecs.pop('o')]
811 fuspecs['o'].append(fuspecs.pop('o1'))
812 if regfile == 'FAST':
813 fuspecs['fast1'] = [fuspecs.pop('fast1')]
814 if 'fast2' in fuspecs:
815 fuspecs['fast1'].append(fuspecs.pop('fast2'))
816 if 'fast3' in fuspecs:
817 fuspecs['fast1'].append(fuspecs.pop('fast3'))
818
819 for (regname, fspec) in sort_fuspecs(fuspecs):
820 self.connect_wrport(m, fu_bitdict, wrpickers,
821 regfile, regname, fspec)
822
823 def get_byregfiles(self, readmode):
824
825 mode = "read" if readmode else "write"
826 regs = self.regs
827 fus = self.fus.fus
828 e = self.ireg.e # decoded instruction to execute
829
830 # dictionary of lists of regfile ports
831 byregfiles = {}
832 byregfiles_spec = {}
833 for (funame, fu) in fus.items():
834 # create in each FU a receptacle for the read/write register
835 # hazard numbers. to be latched in connect_rd/write_ports
836 # XXX better that this is moved into the actual FUs, but
837 # the issue there is that this function is actually better
838 # suited at the moment
839 if readmode:
840 fu.rd_latches = []
841 else:
842 fu.wr_latches = []
843 print("%s ports for %s" % (mode, funame))
844 for idx in range(fu.n_src if readmode else fu.n_dst):
845 if readmode:
846 (regfile, regname, wid) = fu.get_in_spec(idx)
847 else:
848 (regfile, regname, wid) = fu.get_out_spec(idx)
849 print(" %d %s %s %s" % (idx, regfile, regname, str(wid)))
850 name = "%s_%s_%s" % (regfile, idx, funame)
851 if readmode:
852 rdflag, read = regspec_decode_read(e, regfile, regname)
853 wrport, write = None, None
854 else:
855 rdflag, read = None, None
856 wrport, write = regspec_decode_write(e, regfile, regname)
857 if regfile not in byregfiles:
858 byregfiles[regfile] = {}
859 byregfiles_spec[regfile] = {}
860 if regname not in byregfiles_spec[regfile]:
861 byregfiles_spec[regfile][regname] = \
862 (rdflag, wrport, read, write, wid, [])
863 # here we start to create "lanes"
864 if idx not in byregfiles[regfile]:
865 byregfiles[regfile][idx] = []
866 fuspec = (funame, fu, idx)
867 byregfiles[regfile][idx].append(fuspec)
868 byregfiles_spec[regfile][regname][5].append(fuspec)
869
870 # append a latch Signal to the FU's list of latches
871 regidx = len(byregfiles_spec[regfile][regname][5])-1
872 name = "%s_%s_%s_%i" % (regfile, idx, funame, regidx)
873 if readmode:
874 rdl = Signal.like(read, name="rdlatch_"+name)
875 fu.rd_latches.append(rdl)
876 else:
877 wrl = Signal.like(write, name="wrlatch_"+name)
878 fu.wr_latches.append(wrl)
879
880 # ok just print that out, for convenience
881 for regfile, spec in byregfiles.items():
882 print("regfile %s ports:" % mode, regfile)
883 fuspecs = byregfiles_spec[regfile]
884 for regname, fspec in fuspecs.items():
885 [rdflag, wrflag, read, write, wid, fuspec] = fspec
886 print(" rf %s port %s lane: %s" % (mode, regfile, regname))
887 print(" %s" % regname, wid, read, write, rdflag, wrflag)
888 for (funame, fu, idx) in fuspec:
889 fusig = fu.src_i[idx] if readmode else fu.dest[idx]
890 print(" ", funame, fu.__class__.__name__, idx, fusig)
891 print()
892
893 return byregfiles, byregfiles_spec
894
895 def __iter__(self):
896 yield from self.fus.ports()
897 yield from self.i.e.ports()
898 yield from self.l0.ports()
899 # TODO: regs
900
901 def ports(self):
902 return list(self)
903
904
905 if __name__ == '__main__':
906 pspec = TestMemPspec(ldst_ifacetype='testpi',
907 imem_ifacetype='',
908 addr_wid=48,
909 mask_wid=8,
910 reg_wid=64)
911 dut = NonProductionCore(pspec)
912 vl = rtlil.convert(dut, ports=dut.ports())
913 with open("test_core.il", "w") as f:
914 f.write(vl)