Explain the test cases
[soc.git] / src / soc / experiment / test / test_compalu_multi.py
1 """Computation Unit (aka "ALU Manager").
2
3 Manages a Pipeline or FSM, ensuring that the start and end time are 100%
4 monitored. At no time may the ALU proceed without this module notifying
5 the Dependency Matrices. At no time is a result production "abandoned".
6 This module blocks (indicates busy) starting from when it first receives
7 an opcode until it receives notification that
8 its result(s) have been successfully stored in the regfile(s)
9
10 Documented at http://libre-soc.org/3d_gpu/architecture/compunit
11 """
12
13 from soc.experiment.alu_fsm import Shifter, CompFSMOpSubset
14 from soc.fu.alu.alu_input_record import CompALUOpSubset
15 from soc.experiment.alu_hier import ALU, DummyALU
16 from soc.experiment.compalu_multi import MultiCompUnit
17 from soc.decoder.power_enums import MicrOp
18 from nmutil.gtkw import write_gtkw
19 from nmigen import Module, Signal
20 from nmigen.cli import rtlil
21
22 # NOTE: to use cxxsim, export NMIGEN_SIM_MODE=cxxsim from the shell
23 # Also, check out the cxxsim nmigen branch, and latest yosys from git
24 from nmutil.sim_tmp_alternative import (Simulator, Settle, is_engine_pysim,
25 Passive)
26
27
28 def wrap(process):
29 def wrapper():
30 yield from process
31 return wrapper
32
33
34 class OperandProducer:
35 """
36 Produces an operand when requested by the Computation Unit
37 (`dut` parameter), using the `rel_o` / `go_i` handshake.
38
39 Attaches itself to the `dut` operand indexed by `op_index`.
40
41 Has a programmable delay between the assertion of `rel_o` and the
42 `go_i` pulse.
43
44 Data is presented only during the cycle in which `go_i` is active.
45
46 It adds itself as a passive process to the simulation (`sim` parameter).
47 Since it is passive, it will not hang the simulation, and does not need a
48 flag to terminate itself.
49 """
50 def __init__(self, sim, dut, op_index):
51 self.count = Signal(8, name=f"src{op_index + 1}_count")
52 """ transaction counter"""
53 # data and handshake signals from the DUT
54 self.port = dut.src_i[op_index]
55 self.go_i = dut.rd.go_i[op_index]
56 self.rel_o = dut.rd.rel_o[op_index]
57 # transaction parameters, passed via signals
58 self.delay = Signal(8)
59 self.data = Signal.like(self.port)
60 # add ourselves to the simulation process list
61 sim.add_sync_process(self._process)
62
63 def _process(self):
64 yield Passive()
65 while True:
66 # Settle() is needed to give a quick response to
67 # the zero delay case
68 yield Settle()
69 # wait for rel_o to become active
70 while not (yield self.rel_o):
71 yield
72 yield Settle()
73 # read the transaction parameters
74 delay = (yield self.delay)
75 data = (yield self.data)
76 # wait for `delay` cycles
77 for _ in range(delay):
78 yield
79 # activate go_i and present data, for one cycle
80 yield self.go_i.eq(1)
81 yield self.port.eq(data)
82 yield self.count.eq(self.count + 1)
83 yield
84 yield self.go_i.eq(0)
85 yield self.port.eq(0)
86
87 def send(self, data, delay):
88 """
89 Schedules the module to send some `data`, counting `delay` cycles after
90 `rel_i` becomes active.
91
92 To be called from the main test-bench process,
93 it returns in the same cycle.
94
95 Communication with the worker process is done by means of
96 combinatorial simulation-only signals.
97
98 """
99 yield self.data.eq(data)
100 yield self.delay.eq(delay)
101
102
103 class ResultConsumer:
104 """
105 Consumes a result when requested by the Computation Unit
106 (`dut` parameter), using the `rel_o` / `go_i` handshake.
107
108 Attaches itself to the `dut` result indexed by `op_index`.
109
110 Has a programmable delay between the assertion of `rel_o` and the
111 `go_i` pulse.
112
113 Data is retrieved only during the cycle in which `go_i` is active.
114
115 It adds itself as a passive process to the simulation (`sim` parameter).
116 Since it is passive, it will not hang the simulation, and does not need a
117 flag to terminate itself.
118 """
119 def __init__(self, sim, dut, op_index):
120 self.count = Signal(8, name=f"dest{op_index + 1}_count")
121 """ transaction counter"""
122 # data and handshake signals from the DUT
123 self.port = dut.dest[op_index]
124 self.go_i = dut.wr.go_i[op_index]
125 self.rel_o = dut.wr.rel_o[op_index]
126 # transaction parameters, passed via signals
127 self.delay = Signal(8)
128 self.expected = Signal.like(self.port)
129 # add ourselves to the simulation process list
130 sim.add_sync_process(self._process)
131
132 def _process(self):
133 yield Passive()
134 while True:
135 # Settle() is needed to give a quick response to
136 # the zero delay case
137 yield Settle()
138 # wait for rel_o to become active
139 while not (yield self.rel_o):
140 yield
141 yield Settle()
142 # read the transaction parameters
143 delay = (yield self.delay)
144 expected = (yield self.expected)
145 # wait for `delay` cycles
146 for _ in range(delay):
147 yield
148 # activate go_i for one cycle
149 yield self.go_i.eq(1)
150 yield self.count.eq(self.count + 1)
151 yield
152 # check received data against the expected value
153 result = (yield self.port)
154 assert result == expected,\
155 f"expected {expected}, received {result}"
156 yield self.go_i.eq(0)
157 yield self.port.eq(0)
158
159 def receive(self, expected, delay):
160 """
161 Schedules the module to receive some result,
162 counting `delay` cycles after `rel_i` becomes active.
163 As 'go_i' goes active, check the result with `expected`.
164
165 To be called from the main test-bench process,
166 it returns in the same cycle.
167
168 Communication with the worker process is done by means of
169 combinatorial simulation-only signals.
170 """
171 yield self.expected.eq(expected)
172 yield self.delay.eq(delay)
173
174
175 def op_sim(dut, a, b, op, inv_a=0, imm=0, imm_ok=0, zero_a=0):
176 yield dut.issue_i.eq(0)
177 yield
178 yield dut.src_i[0].eq(a)
179 yield dut.src_i[1].eq(b)
180 yield dut.oper_i.insn_type.eq(op)
181 yield dut.oper_i.invert_in.eq(inv_a)
182 yield dut.oper_i.imm_data.data.eq(imm)
183 yield dut.oper_i.imm_data.ok.eq(imm_ok)
184 yield dut.oper_i.zero_a.eq(zero_a)
185 yield dut.issue_i.eq(1)
186 yield
187 yield dut.issue_i.eq(0)
188 yield
189 if not imm_ok or not zero_a:
190 yield dut.rd.go_i.eq(0b11)
191 while True:
192 yield
193 rd_rel_o = yield dut.rd.rel_o
194 print("rd_rel", rd_rel_o)
195 if rd_rel_o:
196 break
197 yield dut.rd.go_i.eq(0)
198 else:
199 print("no go rd")
200
201 if len(dut.src_i) == 3:
202 yield dut.rd.go_i.eq(0b100)
203 while True:
204 yield
205 rd_rel_o = yield dut.rd.rel_o
206 print("rd_rel", rd_rel_o)
207 if rd_rel_o:
208 break
209 yield dut.rd.go_i.eq(0)
210 else:
211 print("no 3rd rd")
212
213 req_rel_o = yield dut.wr.rel_o
214 result = yield dut.data_o
215 print("req_rel", req_rel_o, result)
216 while True:
217 req_rel_o = yield dut.wr.rel_o
218 result = yield dut.data_o
219 print("req_rel", req_rel_o, result)
220 if req_rel_o:
221 break
222 yield
223 yield dut.wr.go_i[0].eq(1)
224 yield Settle()
225 result = yield dut.data_o
226 yield
227 print("result", result)
228 yield dut.wr.go_i[0].eq(0)
229 yield
230 return result
231
232
233 def scoreboard_sim_fsm(dut, producers, consumers):
234
235 # stores the operation count
236 op_count = 0
237
238 def op_sim_fsm(a, b, direction, expected, delays):
239 print("op_sim_fsm", a, b, direction, expected)
240 yield dut.issue_i.eq(0)
241 yield
242 # forward data and delays to the producers and consumers
243 yield from producers[0].send(a, delays[0])
244 yield from producers[1].send(b, delays[1])
245 yield from consumers[0].receive(expected, delays[2])
246 # submit operation, and assert issue_i for one cycle
247 yield dut.oper_i.sdir.eq(direction)
248 yield dut.issue_i.eq(1)
249 yield
250 yield dut.issue_i.eq(0)
251 # wait for busy to be negated
252 yield Settle()
253 while (yield dut.busy_o):
254 yield
255 yield Settle()
256 # update the operation count
257 nonlocal op_count
258 op_count = (op_count + 1) & 255
259 # check that producers and consumers have the same count
260 # this assures that no data was left unused or was lost
261 assert (yield producers[0].count) == op_count
262 assert (yield producers[1].count) == op_count
263 assert (yield consumers[0].count) == op_count
264
265 # 13 >> 2 = 3
266 # operand 1 arrives immediately
267 # operand 2 arrives after operand 1
268 # write data is accepted immediately
269 yield from op_sim_fsm(13, 2, 1, 3, [0, 2, 0])
270 # 3 << 4 = 48
271 # operand 2 arrives immediately
272 # operand 1 arrives after operand 2
273 # write data is accepted after some delay
274 yield from op_sim_fsm(3, 4, 0, 48, [2, 0, 2])
275 # 21 << 0 = 21
276 # operands 1 and 2 arrive at the same time
277 # write data is accepted after some delay
278 yield from op_sim_fsm(21, 0, 0, 21, [1, 1, 1])
279
280
281 def scoreboard_sim_dummy(dut):
282 result = yield from op_sim(dut, 5, 2, MicrOp.OP_NOP, inv_a=0,
283 imm=8, imm_ok=1)
284 assert result == 5, result
285
286 result = yield from op_sim(dut, 9, 2, MicrOp.OP_NOP, inv_a=0,
287 imm=8, imm_ok=1)
288 assert result == 9, result
289
290
291 def scoreboard_sim(dut):
292 # zero (no) input operands test
293 result = yield from op_sim(dut, 5, 2, MicrOp.OP_ADD, zero_a=1,
294 imm=8, imm_ok=1)
295 assert result == 8
296
297 result = yield from op_sim(dut, 5, 2, MicrOp.OP_ADD, inv_a=0,
298 imm=8, imm_ok=1)
299 assert result == 13
300
301 result = yield from op_sim(dut, 5, 2, MicrOp.OP_ADD)
302 assert result == 7
303
304 result = yield from op_sim(dut, 5, 2, MicrOp.OP_ADD, inv_a=1)
305 assert result == 65532
306
307 result = yield from op_sim(dut, 5, 2, MicrOp.OP_ADD, zero_a=1)
308 assert result == 2
309
310 # test combinatorial zero-delay operation
311 # In the test ALU, any operation other than ADD, MUL or SHR
312 # is zero-delay, and do a subtraction.
313 result = yield from op_sim(dut, 5, 2, MicrOp.OP_NOP)
314 assert result == 3
315
316
317 def test_compunit_fsm():
318 top = "top.cu" if is_engine_pysim() else "cu"
319 traces = [
320 'clk',
321 ('operation port', {'color': 'red'}, [
322 'oper_i_None__sdir', 'cu_issue_i',
323 'cu_busy_o']),
324 ('operand 1 port', {'color': 'yellow'}, [
325 ('cu_rd__rel_o[1:0]', {'bit': 1}),
326 ('cu_rd__go_i[1:0]', {'bit': 1}),
327 'src1_i[7:0]']),
328 ('operand 2 port', {'color': 'yellow'}, [
329 ('cu_rd__rel_o[1:0]', {'bit': 0}),
330 ('cu_rd__go_i[1:0]', {'bit': 0}),
331 'src2_i[7:0]']),
332 ('result port', {'color': 'orange'}, [
333 'cu_wr__rel_o', 'cu_wr__go_i', 'dest1_o[7:0]']),
334 ('alu', {'module': top+'.alu'}, [
335 'p_data_i[7:0]', 'p_shift_i[7:0]', 'op__sdir',
336 'p_valid_i', 'p_ready_o', 'n_valid_o', 'n_ready_i',
337 'n_data_o[7:0]'
338 ]),
339 ('debug', {'module': 'top'},
340 ['src1_count[7:0]', 'src2_count[7:0]', 'dest1_count[7:0]'])
341
342 ]
343 write_gtkw(
344 "test_compunit_fsm1.gtkw",
345 "test_compunit_fsm1.vcd",
346 traces,
347 module=top
348 )
349 m = Module()
350 alu = Shifter(8)
351 dut = MultiCompUnit(8, alu, CompFSMOpSubset)
352 m.submodules.cu = dut
353
354 vl = rtlil.convert(dut, ports=dut.ports())
355 with open("test_compunit_fsm1.il", "w") as f:
356 f.write(vl)
357
358 sim = Simulator(m)
359 sim.add_clock(1e-6)
360
361 # create one operand producer for each input port
362 prod_a = OperandProducer(sim, dut, 0)
363 prod_b = OperandProducer(sim, dut, 1)
364 # create an result consumer for the output port
365 cons = ResultConsumer(sim, dut, 0)
366 sim.add_sync_process(wrap(scoreboard_sim_fsm(dut,
367 [prod_a, prod_b],
368 [cons])))
369 sim_writer = sim.write_vcd('test_compunit_fsm1.vcd',
370 traces=[prod_a.count,
371 prod_b.count,
372 cons.count])
373 with sim_writer:
374 sim.run()
375
376
377 def test_compunit():
378
379 m = Module()
380 alu = ALU(16)
381 dut = MultiCompUnit(16, alu, CompALUOpSubset)
382 m.submodules.cu = dut
383
384 vl = rtlil.convert(dut, ports=dut.ports())
385 with open("test_compunit1.il", "w") as f:
386 f.write(vl)
387
388 sim = Simulator(m)
389 sim.add_clock(1e-6)
390
391 sim.add_sync_process(wrap(scoreboard_sim(dut)))
392 sim_writer = sim.write_vcd('test_compunit1.vcd')
393 with sim_writer:
394 sim.run()
395
396
397 class CompUnitParallelTest:
398 def __init__(self, dut):
399 self.dut = dut
400
401 # Operation cycle should not take longer than this:
402 self.MAX_BUSY_WAIT = 50
403
404 # Minimum duration in which issue_i will be kept inactive,
405 # during which busy_o must remain low.
406 self.MIN_BUSY_LOW = 5
407
408 # Number of cycles to stall until the assertion of go.
409 # One value, for each port. Can be zero, for no delay.
410 self.RD_GO_DELAY = [0, 3]
411
412 # store common data for the input operation of the processes
413 # input operation:
414 self.op = 0
415 self.inv_a = self.zero_a = 0
416 self.imm = self.imm_ok = 0
417 self.imm_control = (0, 0)
418 self.rdmaskn = (0, 0)
419 # input data:
420 self.operands = (0, 0)
421
422 # Indicates completion of the sub-processes
423 self.rd_complete = [False, False]
424
425 def driver(self):
426 print("Begin parallel test.")
427 yield from self.operation(5, 2, MicrOp.OP_ADD)
428
429 def operation(self, a, b, op, inv_a=0, imm=0, imm_ok=0, zero_a=0,
430 rdmaskn=(0, 0)):
431 # store data for the operation
432 self.operands = (a, b)
433 self.op = op
434 self.inv_a = inv_a
435 self.imm = imm
436 self.imm_ok = imm_ok
437 self.zero_a = zero_a
438 self.imm_control = (zero_a, imm_ok)
439 self.rdmaskn = rdmaskn
440
441 # Initialize completion flags
442 self.rd_complete = [False, False]
443
444 # trigger operation cycle
445 yield from self.issue()
446
447 # check that the sub-processes completed, before the busy_o cycle ended
448 for completion in self.rd_complete:
449 assert completion
450
451 def issue(self):
452 # issue_i starts inactive
453 yield self.dut.issue_i.eq(0)
454
455 for n in range(self.MIN_BUSY_LOW):
456 yield
457 # busy_o must remain inactive. It cannot rise on its own.
458 busy_o = yield self.dut.busy_o
459 assert not busy_o
460
461 # activate issue_i to begin the operation cycle
462 yield self.dut.issue_i.eq(1)
463
464 # at the same time, present the operation
465 yield self.dut.oper_i.insn_type.eq(self.op)
466 yield self.dut.oper_i.invert_in.eq(self.inv_a)
467 yield self.dut.oper_i.imm_data.data.eq(self.imm)
468 yield self.dut.oper_i.imm_data.ok.eq(self.imm_ok)
469 yield self.dut.oper_i.zero_a.eq(self.zero_a)
470 rdmaskn = self.rdmaskn[0] | (self.rdmaskn[1] << 1)
471 yield self.dut.rdmaskn.eq(rdmaskn)
472
473 # give one cycle for the CompUnit to latch the data
474 yield
475
476 # busy_o must keep being low in this cycle, because issue_i was
477 # low on the previous cycle.
478 # It cannot rise on its own.
479 # Also, busy_o and issue_i must never be active at the same time, ever.
480 busy_o = yield self.dut.busy_o
481 assert not busy_o
482
483 # Lower issue_i
484 yield self.dut.issue_i.eq(0)
485
486 # deactivate inputs along with issue_i, so we can be sure the data
487 # was latched at the correct cycle
488 # note: rdmaskn must be held, while busy_o is active
489 # TODO: deactivate rdmaskn when the busy_o cycle ends
490 yield self.dut.oper_i.insn_type.eq(0)
491 yield self.dut.oper_i.invert_in.eq(0)
492 yield self.dut.oper_i.imm_data.data.eq(0)
493 yield self.dut.oper_i.imm_data.ok.eq(0)
494 yield self.dut.oper_i.zero_a.eq(0)
495 yield
496
497 # wait for busy_o to lower
498 # timeout after self.MAX_BUSY_WAIT cycles
499 for n in range(self.MAX_BUSY_WAIT):
500 # sample busy_o in the current cycle
501 busy_o = yield self.dut.busy_o
502 if not busy_o:
503 # operation cycle ends when busy_o becomes inactive
504 break
505 yield
506
507 # if busy_o is still active, a timeout has occurred
508 # TODO: Uncomment this, once the test is complete:
509 # assert not busy_o
510
511 if busy_o:
512 print("If you are reading this, "
513 "it's because the above test failed, as expected,\n"
514 "with a timeout. It must pass, once the test is complete.")
515 return
516
517 print("If you are reading this, "
518 "it's because the above test unexpectedly passed.")
519
520 def rd(self, rd_idx):
521 # wait for issue_i to rise
522 while True:
523 issue_i = yield self.dut.issue_i
524 if issue_i:
525 break
526 # issue_i has not risen yet, so rd must keep low
527 rel = yield self.dut.rd.rel_o[rd_idx]
528 assert not rel
529 yield
530
531 # we do not want rd to rise on an immediate operand
532 # if it is immediate, exit the process
533 # likewise, if the read mask is active
534 # TODO: don't exit the process, monitor rd instead to ensure it
535 # doesn't rise on its own
536 if self.rdmaskn[rd_idx] or self.imm_control[rd_idx]:
537 self.rd_complete[rd_idx] = True
538 return
539
540 # issue_i has risen. rel must rise on the next cycle
541 rel = yield self.dut.rd.rel_o[rd_idx]
542 assert not rel
543
544 # stall for additional cycles. Check that rel doesn't fall on its own
545 for n in range(self.RD_GO_DELAY[rd_idx]):
546 yield
547 rel = yield self.dut.rd.rel_o[rd_idx]
548 assert rel
549
550 # Before asserting "go", make sure "rel" has risen.
551 # The use of Settle allows "go" to be set combinatorially,
552 # rising on the same cycle as "rel".
553 yield Settle()
554 rel = yield self.dut.rd.rel_o[rd_idx]
555 assert rel
556
557 # assert go for one cycle, passing along the operand value
558 yield self.dut.rd.go_i[rd_idx].eq(1)
559 yield self.dut.src_i[rd_idx].eq(self.operands[rd_idx])
560 # check that the operand was sent to the alu
561 # TODO: Properly check the alu protocol
562 yield Settle()
563 alu_input = yield self.dut.get_in(rd_idx)
564 assert alu_input == self.operands[rd_idx]
565 yield
566
567 # rel must keep high, since go was inactive in the last cycle
568 rel = yield self.dut.rd.rel_o[rd_idx]
569 assert rel
570
571 # finish the go one-clock pulse
572 yield self.dut.rd.go_i[rd_idx].eq(0)
573 yield self.dut.src_i[rd_idx].eq(0)
574 yield
575
576 # rel must have gone low in response to go being high
577 # on the previous cycle
578 rel = yield self.dut.rd.rel_o[rd_idx]
579 assert not rel
580
581 self.rd_complete[rd_idx] = True
582
583 # TODO: check that rel doesn't rise again until the end of the
584 # busy_o cycle
585
586 def wr(self, wr_idx):
587 # monitor self.dut.wr.req[rd_idx] and sets dut.wr.go[idx] for one cycle
588 yield
589 # TODO: also when dut.wr.go is set, check the output against the
590 # self.expected_o and assert. use dut.get_out(wr_idx) to do so.
591
592 def run_simulation(self, vcd_name):
593 m = Module()
594 m.submodules.cu = self.dut
595 sim = Simulator(m)
596 sim.add_clock(1e-6)
597
598 sim.add_sync_process(wrap(self.driver()))
599 sim.add_sync_process(wrap(self.rd(0)))
600 sim.add_sync_process(wrap(self.rd(1)))
601 sim.add_sync_process(wrap(self.wr(0)))
602 sim_writer = sim.write_vcd(vcd_name)
603 with sim_writer:
604 sim.run()
605
606
607 def test_compunit_regspec2_fsm():
608
609 inspec = [('INT', 'data', '0:15'),
610 ('INT', 'shift', '0:15'),
611 ]
612 outspec = [('INT', 'data', '0:15'),
613 ]
614
615 regspec = (inspec, outspec)
616
617 m = Module()
618 alu = Shifter(8)
619 dut = MultiCompUnit(regspec, alu, CompFSMOpSubset)
620 m.submodules.cu = dut
621
622 sim = Simulator(m)
623 sim.add_clock(1e-6)
624
625 # create one operand producer for each input port
626 prod_a = OperandProducer(sim, dut, 0)
627 prod_b = OperandProducer(sim, dut, 1)
628 # create an result consumer for the output port
629 cons = ResultConsumer(sim, dut, 0)
630 sim.add_sync_process(wrap(scoreboard_sim_fsm(dut,
631 [prod_a, prod_b],
632 [cons])))
633 sim_writer = sim.write_vcd('test_compunit_regspec2_fsm.vcd',
634 traces=[prod_a.count,
635 prod_b.count,
636 cons.count])
637 with sim_writer:
638 sim.run()
639
640
641 def test_compunit_regspec3():
642
643 inspec = [('INT', 'a', '0:15'),
644 ('INT', 'b', '0:15'),
645 ('INT', 'c', '0:15')]
646 outspec = [('INT', 'o', '0:15'),
647 ]
648
649 regspec = (inspec, outspec)
650
651 m = Module()
652 alu = DummyALU(16)
653 dut = MultiCompUnit(regspec, alu, CompALUOpSubset)
654 m.submodules.cu = dut
655
656 sim = Simulator(m)
657 sim.add_clock(1e-6)
658
659 sim.add_sync_process(wrap(scoreboard_sim_dummy(dut)))
660 sim_writer = sim.write_vcd('test_compunit_regspec3.vcd')
661 with sim_writer:
662 sim.run()
663
664
665 def test_compunit_regspec1():
666
667 inspec = [('INT', 'a', '0:15'),
668 ('INT', 'b', '0:15')]
669 outspec = [('INT', 'o', '0:15'),
670 ]
671
672 regspec = (inspec, outspec)
673
674 m = Module()
675 alu = ALU(16)
676 dut = MultiCompUnit(regspec, alu, CompALUOpSubset)
677 m.submodules.cu = dut
678
679 vl = rtlil.convert(dut, ports=dut.ports())
680 with open("test_compunit_regspec1.il", "w") as f:
681 f.write(vl)
682
683 sim = Simulator(m)
684 sim.add_clock(1e-6)
685
686 sim.add_sync_process(wrap(scoreboard_sim(dut)))
687 sim_writer = sim.write_vcd('test_compunit_regspec1.vcd')
688 with sim_writer:
689 sim.run()
690
691 test = CompUnitParallelTest(dut)
692 test.run_simulation("test_compunit_parallel.vcd")
693
694
695 if __name__ == '__main__':
696 test_compunit()
697 test_compunit_fsm()
698 test_compunit_regspec1()
699 test_compunit_regspec2_fsm()
700 test_compunit_regspec3()