capture write port (wrflag) in byregfiles_spec for use in
[soc.git] / src / soc / simple / core.py
1 """simple core
2
3 not in any way intended for production use. connects up FunctionUnits to
4 Register Files in a brain-dead fashion that only permits one and only one
5 Function Unit to be operational.
6
7 the principle here is to take the Function Units, analyse their regspecs,
8 and turn their requirements for access to register file read/write ports
9 into groupings by Register File and Register File Port name.
10
11 under each grouping - by regfile/port - a list of Function Units that
12 need to connect to that port is created. as these are a contended
13 resource a "Broadcast Bus" per read/write port is then also created,
14 with access to it managed by a PriorityPicker.
15
16 the brain-dead part of this module is that even though there is no
17 conflict of access, regfile read/write hazards are *not* analysed,
18 and consequently it is safer to wait for the Function Unit to complete
19 before allowing a new instruction to proceed.
20 """
21
22 from nmigen import Elaboratable, Module, Signal, ResetSignal, Cat, Mux
23 from nmigen.cli import rtlil
24
25 from openpower.decoder.power_decoder2 import PowerDecodeSubset
26 from openpower.decoder.power_regspec_map import regspec_decode_read
27 from openpower.decoder.power_regspec_map import regspec_decode_write
28 from openpower.sv.svp64 import SVP64Rec
29
30 from nmutil.picker import PriorityPicker
31 from nmutil.util import treereduce
32 from nmutil.singlepipe import ControlBase
33
34 from soc.fu.compunits.compunits import AllFunctionUnits
35 from soc.regfile.regfiles import RegFiles
36 from openpower.decoder.power_decoder2 import get_rdflags
37 from soc.experiment.l0_cache import TstL0CacheBuffer # test only
38 from soc.config.test.test_loadstore import TestMemPspec
39 from openpower.decoder.power_enums import MicrOp, Function
40 from soc.simple.core_data import CoreInput, CoreOutput
41
42 from collections import defaultdict
43 import operator
44
45 from nmutil.util import rising_edge
46
47
48 # helper function for reducing a list of signals down to a parallel
49 # ORed single signal.
50 def ortreereduce(tree, attr="o_data"):
51 return treereduce(tree, operator.or_, lambda x: getattr(x, attr))
52
53
54 def ortreereduce_sig(tree):
55 return treereduce(tree, operator.or_, lambda x: x)
56
57
58 # helper function to place full regs declarations first
59 def sort_fuspecs(fuspecs):
60 res = []
61 for (regname, fspec) in fuspecs.items():
62 if regname.startswith("full"):
63 res.append((regname, fspec))
64 for (regname, fspec) in fuspecs.items():
65 if not regname.startswith("full"):
66 res.append((regname, fspec))
67 return res # enumerate(res)
68
69
70 # derive from ControlBase rather than have a separate Stage instance,
71 # this is simpler to do
72 class NonProductionCore(ControlBase):
73 def __init__(self, pspec):
74 self.pspec = pspec
75
76 # test is SVP64 is to be enabled
77 self.svp64_en = hasattr(pspec, "svp64") and (pspec.svp64 == True)
78
79 # test to see if regfile ports should be reduced
80 self.regreduce_en = (hasattr(pspec, "regreduce") and
81 (pspec.regreduce == True))
82
83 # test core type
84 self.make_hazard_vecs = True
85 self.core_type = "fsm"
86 if hasattr(pspec, "core_type"):
87 self.core_type = pspec.core_type
88
89 super().__init__(stage=self)
90
91 # single LD/ST funnel for memory access
92 self.l0 = l0 = TstL0CacheBuffer(pspec, n_units=1)
93 pi = l0.l0.dports[0]
94
95 # function units (only one each)
96 # only include mmu if enabled in pspec
97 self.fus = AllFunctionUnits(pspec, pilist=[pi])
98
99 # link LoadStore1 into MMU
100 mmu = self.fus.get_fu('mmu0')
101 print ("core pspec", pspec.ldst_ifacetype)
102 print ("core mmu", mmu)
103 if mmu is not None:
104 print ("core lsmem.lsi", l0.cmpi.lsmem.lsi)
105 mmu.alu.set_ldst_interface(l0.cmpi.lsmem.lsi)
106
107 # register files (yes plural)
108 self.regs = RegFiles(pspec, make_hazard_vecs=self.make_hazard_vecs)
109
110 # set up input and output: unusual requirement to set data directly
111 # (due to the way that the core is set up in a different domain,
112 # see TestIssuer.setup_peripherals
113 self.i, self.o = self.new_specs(None)
114 self.i, self.o = self.p.i_data, self.n.o_data
115
116 # create per-FU instruction decoders (subsetted). these "satellite"
117 # decoders reduce wire fan-out from the one (main) PowerDecoder2
118 # (used directly by the trap unit) to the *twelve* (or more)
119 # Function Units. we can either have 32 wires (the instruction)
120 # to each, or we can have well over a 200 wire fan-out (to 12
121 # ALUs). it's an easy choice to make.
122 self.decoders = {}
123 self.des = {}
124
125 for funame, fu in self.fus.fus.items():
126 f_name = fu.fnunit.name
127 fnunit = fu.fnunit.value
128 opkls = fu.opsubsetkls
129 if f_name == 'TRAP':
130 # TRAP decoder is the *main* decoder
131 self.trapunit = funame
132 continue
133 self.decoders[funame] = PowerDecodeSubset(None, opkls, f_name,
134 final=True,
135 state=self.i.state,
136 svp64_en=self.svp64_en,
137 regreduce_en=self.regreduce_en)
138 self.des[funame] = self.decoders[funame].do
139
140 # share the SPR decoder with the MMU if it exists
141 if "mmu0" in self.decoders:
142 self.decoders["mmu0"].mmu0_spr_dec = self.decoders["spr0"]
143
144 # next 3 functions are Stage API Compliance
145 def setup(self, m, i):
146 pass
147
148 def ispec(self):
149 return CoreInput(self.pspec, self.svp64_en, self.regreduce_en)
150
151 def ospec(self):
152 return CoreOutput()
153
154 # elaborate function to create HDL
155 def elaborate(self, platform):
156 m = super().elaborate(platform)
157
158 # for testing purposes, to cut down on build time in coriolis2
159 if hasattr(self.pspec, "nocore") and self.pspec.nocore == True:
160 x = Signal() # dummy signal
161 m.d.sync += x.eq(~x)
162 return m
163 comb = m.d.comb
164
165 m.submodules.fus = self.fus
166 m.submodules.l0 = l0 = self.l0
167 self.regs.elaborate_into(m, platform)
168 regs = self.regs
169 fus = self.fus.fus
170
171 # connect decoders
172 self.connect_satellite_decoders(m)
173
174 # ssh, cheat: trap uses the main decoder because of the rewriting
175 self.des[self.trapunit] = self.i.e.do
176
177 # connect up Function Units, then read/write ports
178 fu_bitdict, fu_selected = self.connect_instruction(m)
179 self.connect_rdports(m, fu_selected)
180 self.connect_wrports(m, fu_selected)
181
182 # note if an exception happened. in a pipelined or OoO design
183 # this needs to be accompanied by "shadowing" (or stalling)
184 el = []
185 for exc in self.fus.excs.values():
186 el.append(exc.happened)
187 if len(el) > 0: # at least one exception
188 comb += self.o.exc_happened.eq(Cat(*el).bool())
189
190 return m
191
192 def connect_satellite_decoders(self, m):
193 comb = m.d.comb
194 for k, v in self.decoders.items():
195 # connect each satellite decoder and give it the instruction.
196 # as subset decoders this massively reduces wire fanout given
197 # the large number of ALUs
198 setattr(m.submodules, "dec_%s" % v.fn_name, v)
199 comb += v.dec.raw_opcode_in.eq(self.i.raw_insn_i)
200 comb += v.dec.bigendian.eq(self.i.bigendian_i)
201 # sigh due to SVP64 RA_OR_ZERO detection connect these too
202 comb += v.sv_a_nz.eq(self.i.sv_a_nz)
203 if self.svp64_en:
204 comb += v.pred_sm.eq(self.i.sv_pred_sm)
205 comb += v.pred_dm.eq(self.i.sv_pred_dm)
206 if k != self.trapunit:
207 comb += v.sv_rm.eq(self.i.sv_rm) # pass through SVP64 ReMap
208 comb += v.is_svp64_mode.eq(self.i.is_svp64_mode)
209 # only the LDST PowerDecodeSubset *actually* needs to
210 # know to use the alternative decoder. this is all
211 # a terrible hack
212 if k.lower().startswith("ldst"):
213 comb += v.use_svp64_ldst_dec.eq(
214 self.i.use_svp64_ldst_dec)
215
216 def connect_instruction(self, m):
217 """connect_instruction
218
219 uses decoded (from PowerOp) function unit information from CSV files
220 to ascertain which Function Unit should deal with the current
221 instruction.
222
223 some (such as OP_ATTN, OP_NOP) are dealt with here, including
224 ignoring it and halting the processor. OP_NOP is a bit annoying
225 because the issuer expects busy flag still to be raised then lowered.
226 (this requires a fake counter to be set).
227 """
228 comb, sync = m.d.comb, m.d.sync
229 fus = self.fus.fus
230
231 # indicate if core is busy
232 busy_o = self.o.busy_o
233
234 # enable/busy-signals for each FU, get one bit for each FU (by name)
235 fu_enable = Signal(len(fus), reset_less=True)
236 fu_busy = Signal(len(fus), reset_less=True)
237 fu_bitdict = {}
238 fu_selected = {}
239 for i, funame in enumerate(fus.keys()):
240 fu_bitdict[funame] = fu_enable[i]
241 fu_selected[funame] = fu_busy[i]
242
243 # identify function units and create a list by fnunit so that
244 # PriorityPickers can be created for selecting one of them that
245 # isn't busy at the time the incoming instruction needs passing on
246 by_fnunit = defaultdict(list)
247 for fname, member in Function.__members__.items():
248 for funame, fu in fus.items():
249 fnunit = fu.fnunit.value
250 if member.value & fnunit: # this FU handles this type of op
251 by_fnunit[fname].append((funame, fu)) # add by Function
252
253 # ok now just print out the list of FUs by Function, because we can
254 for fname, fu_list in by_fnunit.items():
255 print ("FUs by type", fname, fu_list)
256
257 # now create a PriorityPicker per FU-type such that only one
258 # non-busy FU will be picked
259 issue_pps = {}
260 fu_found = Signal() # take a note if no Function Unit was available
261 for fname, fu_list in by_fnunit.items():
262 i_pp = PriorityPicker(len(fu_list))
263 m.submodules['i_pp_%s' % fname] = i_pp
264 i_l = []
265 for i, (funame, fu) in enumerate(fu_list):
266 # match the decoded instruction (e.do.fn_unit) against the
267 # "capability" of this FU, gate that by whether that FU is
268 # busy, and drop that into the PriorityPicker.
269 # this will give us an output of the first available *non-busy*
270 # Function Unit (Reservation Statio) capable of handling this
271 # instruction.
272 fnunit = fu.fnunit.value
273 en_req = Signal(name="issue_en_%s" % funame, reset_less=True)
274 fnmatch = (self.i.e.do.fn_unit & fnunit).bool()
275 comb += en_req.eq(fnmatch & ~fu.busy_o & self.p.i_valid)
276 i_l.append(en_req) # store in list for doing the Cat-trick
277 # picker output, gated by enable: store in fu_bitdict
278 po = Signal(name="o_issue_pick_"+funame) # picker output
279 comb += po.eq(i_pp.o[i] & i_pp.en_o)
280 comb += fu_bitdict[funame].eq(po)
281 comb += fu_selected[funame].eq(fu.busy_o | po)
282 # if we don't do this, then when there are no FUs available,
283 # the "p.o_ready" signal will go back "ok we accepted this
284 # instruction" which of course isn't true.
285 comb += fu_found.eq(~fnmatch | i_pp.en_o)
286 # for each input, Cat them together and drop them into the picker
287 comb += i_pp.i.eq(Cat(*i_l))
288
289 # sigh - need a NOP counter
290 counter = Signal(2)
291 with m.If(counter != 0):
292 sync += counter.eq(counter - 1)
293 comb += busy_o.eq(1)
294
295 with m.If(self.p.i_valid): # run only when valid
296 with m.Switch(self.i.e.do.insn_type):
297 # check for ATTN: halt if true
298 with m.Case(MicrOp.OP_ATTN):
299 m.d.sync += self.o.core_terminate_o.eq(1)
300
301 # fake NOP - this isn't really used (Issuer detects NOP)
302 with m.Case(MicrOp.OP_NOP):
303 sync += counter.eq(2)
304 comb += busy_o.eq(1)
305
306 with m.Default():
307 # connect up instructions. only one enabled at a time
308 for funame, fu in fus.items():
309 do = self.des[funame]
310 enable = fu_bitdict[funame]
311
312 # run this FunctionUnit if enabled
313 # route op, issue, busy, read flags and mask to FU
314 with m.If(enable):
315 # operand comes from the *local* decoder
316 comb += fu.oper_i.eq_from(do)
317 comb += fu.issue_i.eq(1) # issue when input valid
318 # rdmask, which is for registers, needs to come
319 # from the *main* decoder
320 rdmask = get_rdflags(self.i.e, fu)
321 comb += fu.rdmaskn.eq(~rdmask)
322
323 # if instruction is busy, set busy output for core.
324 busys = map(lambda fu: fu.busy_o, fus.values())
325 comb += busy_o.eq(Cat(*busys).bool())
326
327 # ready/valid signalling. if busy, means refuse incoming issue.
328 # (this is a global signal, TODO, change to one which allows
329 # overlapping instructions)
330 # also, if there was no fu found we must not send back a valid
331 # indicator. BUT, of course, when there is no instruction
332 # we must ignore the fu_found flag, otherwise o_ready will never
333 # be set when everything is idle
334 comb += self.p.o_ready.eq(fu_found | ~self.p.i_valid)
335
336 # return both the function unit "enable" dict as well as the "busy".
337 # the "busy-or-issued" can be passed in to the Read/Write port
338 # connecters to give them permission to request access to regfiles
339 return fu_bitdict, fu_selected
340
341 def connect_rdport(self, m, fu_bitdict, rdpickers, regfile, regname, fspec):
342 comb, sync = m.d.comb, m.d.sync
343 fus = self.fus.fus
344 regs = self.regs
345
346 rpidx = regname
347
348 # select the required read port. these are pre-defined sizes
349 rfile = regs.rf[regfile.lower()]
350 rport = rfile.r_ports[rpidx]
351 print("read regfile", rpidx, regfile, regs.rf.keys(),
352 rfile, rfile.unary)
353
354 # select the write-protection hazard vector. note that this still
355 # requires to WRITE to the hazard bitvector! read-requests need
356 # to RAISE the bitvector (set it to 1), which, duh, requires a WRITE
357 if self.make_hazard_vecs:
358 wv = regs.wv[regfile.lower()]
359 wvport = wv.w_ports["rd_"+rpidx] # write-vec bit-level hazard ctrl
360
361 fspecs = fspec
362 if not isinstance(fspecs, list):
363 fspecs = [fspecs]
364
365 rdflags = []
366 pplen = 0
367 reads = []
368 ppoffs = []
369 for i, fspec in enumerate(fspecs):
370 # get the regfile specs for this regfile port
371 (rf, wf, read, write, wid, fuspec) = fspec
372 print ("fpsec", i, fspec, len(fuspec))
373 ppoffs.append(pplen) # record offset for picker
374 pplen += len(fuspec)
375 name = "rdflag_%s_%s_%d" % (regfile, regname, i)
376 rdflag = Signal(name=name, reset_less=True)
377 comb += rdflag.eq(rf)
378 rdflags.append(rdflag)
379 reads.append(read)
380
381 print ("pplen", pplen)
382
383 # create a priority picker to manage this port
384 rdpickers[regfile][rpidx] = rdpick = PriorityPicker(pplen)
385 setattr(m.submodules, "rdpick_%s_%s" % (regfile, rpidx), rdpick)
386
387 rens = []
388 addrs = []
389 wvens = []
390
391 for i, fspec in enumerate(fspecs):
392 (rf, wf, read, write, wid, fuspec) = fspec
393 # connect up the FU req/go signals, and the reg-read to the FU
394 # and create a Read Broadcast Bus
395 for pi, (funame, fu, idx) in enumerate(fuspec):
396 pi += ppoffs[i]
397
398 # connect request-read to picker input, and output to go-rd
399 fu_active = fu_bitdict[funame]
400 name = "%s_%s_%s_%i" % (regfile, rpidx, funame, pi)
401 addr_en = Signal.like(reads[i], name="addr_en_"+name)
402 pick = Signal(name="pick_"+name) # picker input
403 rp = Signal(name="rp_"+name) # picker output
404 delay_pick = Signal(name="dp_"+name) # read-enable "underway"
405
406 # exclude any currently-enabled read-request (mask out active)
407 comb += pick.eq(fu.rd_rel_o[idx] & fu_active & rdflags[i] &
408 ~delay_pick)
409 comb += rdpick.i[pi].eq(pick)
410 comb += fu.go_rd_i[idx].eq(delay_pick) # pass in *delayed* pick
411
412 # if picked, select read-port "reg select" number to port
413 comb += rp.eq(rdpick.o[pi] & rdpick.en_o)
414 sync += delay_pick.eq(rp) # delayed "pick"
415 comb += addr_en.eq(Mux(rp, reads[i], 0))
416
417 # the read-enable happens combinatorially (see mux-bus below)
418 # but it results in the data coming out on a one-cycle delay.
419 if rfile.unary:
420 rens.append(addr_en)
421 else:
422 addrs.append(addr_en)
423 rens.append(rp)
424
425 # use the *delayed* pick signal to put requested data onto bus
426 with m.If(delay_pick):
427 # connect regfile port to input, creating fan-out Bus
428 src = fu.src_i[idx]
429 print("reg connect widths",
430 regfile, regname, pi, funame,
431 src.shape(), rport.o_data.shape())
432 # all FUs connect to same port
433 comb += src.eq(rport.o_data)
434
435 # now connect up the bitvector write hazard. unlike the
436 # regfile writeports, a ONE must be written to the corresponding
437 # bit of the hazard bitvector (to indicate the existence of
438 # the hazard)
439 if not self.make_hazard_vecs:
440 continue
441
442 # the detection of what shall be written to is based
443 # on *issue*
444 print ("write vector (for regread)", regfile, wvport)
445 wname = "wvaddr_en_%s_%s_%d" % (funame, regname, idx)
446 wvaddr_en = Signal(len(wvport.wen), name=wname)
447 issue_active = Signal(name="iactive_"+name)
448 comb += issue_active.eq(fu.issue_i & fu_active & rdflags[i])
449 with m.If(issue_active):
450 if rfile.unary:
451 comb += wvaddr_en.eq(addr_en)
452 else:
453 comb += wvaddr_en.eq(1<<addr_en)
454 wvens.append(wvaddr_en)
455
456 # or-reduce the muxed read signals
457 if rfile.unary:
458 # for unary-addressed
459 comb += rport.ren.eq(ortreereduce_sig(rens))
460 else:
461 # for binary-addressed
462 comb += rport.addr.eq(ortreereduce_sig(addrs))
463 comb += rport.ren.eq(Cat(*rens).bool())
464 print ("binary", regfile, rpidx, rport, rport.ren, rens, addrs)
465
466 def connect_rdports(self, m, fu_bitdict):
467 """connect read ports
468
469 orders the read regspecs into a dict-of-dicts, by regfile, by
470 regport name, then connects all FUs that want that regport by
471 way of a PriorityPicker.
472 """
473 comb, sync = m.d.comb, m.d.sync
474 fus = self.fus.fus
475 regs = self.regs
476
477 # dictionary of lists of regfile read ports
478 byregfiles_rd, byregfiles_rdspec = self.get_byregfiles(True)
479
480 # okaay, now we need a PriorityPicker per regfile per regfile port
481 # loootta pickers... peter piper picked a pack of pickled peppers...
482 rdpickers = {}
483 for regfile, spec in byregfiles_rd.items():
484 fuspecs = byregfiles_rdspec[regfile]
485 rdpickers[regfile] = {}
486
487 # argh. an experiment to merge RA and RB in the INT regfile
488 # (we have too many read/write ports)
489 if self.regreduce_en:
490 if regfile == 'INT':
491 fuspecs['rabc'] = [fuspecs.pop('rb')]
492 fuspecs['rabc'].append(fuspecs.pop('rc'))
493 fuspecs['rabc'].append(fuspecs.pop('ra'))
494 if regfile == 'FAST':
495 fuspecs['fast1'] = [fuspecs.pop('fast1')]
496 if 'fast2' in fuspecs:
497 fuspecs['fast1'].append(fuspecs.pop('fast2'))
498 if 'fast3' in fuspecs:
499 fuspecs['fast1'].append(fuspecs.pop('fast3'))
500
501 # for each named regfile port, connect up all FUs to that port
502 for (regname, fspec) in sort_fuspecs(fuspecs):
503 print("connect rd", regname, fspec)
504 self.connect_rdport(m, fu_bitdict, rdpickers, regfile,
505 regname, fspec)
506
507 def connect_wrport(self, m, fu_bitdict, wrpickers, regfile, regname, fspec):
508 comb, sync = m.d.comb, m.d.sync
509 fus = self.fus.fus
510 regs = self.regs
511
512 print("connect wr", regname, fspec)
513 rpidx = regname
514
515 # select the required write port. these are pre-defined sizes
516 print(regfile, regs.rf.keys())
517 rfile = regs.rf[regfile.lower()]
518 wport = rfile.w_ports[rpidx]
519 if self.make_hazard_vecs:
520 wv = regs.wv[regfile.lower()]
521 wvport = wv.w_ports["wr_"+rpidx] # write-vec bit-level hazard ctrl
522
523 fspecs = fspec
524 if not isinstance(fspecs, list):
525 fspecs = [fspecs]
526
527 pplen = 0
528 writes = []
529 ppoffs = []
530 for i, fspec in enumerate(fspecs):
531 # get the regfile specs for this regfile port
532 (rf, wf, read, write, wid, fuspec) = fspec
533 print ("fpsec", i, fspec, len(fuspec))
534 ppoffs.append(pplen) # record offset for picker
535 pplen += len(fuspec)
536
537 # create a priority picker to manage this port
538 wrpickers[regfile][rpidx] = wrpick = PriorityPicker(pplen)
539 setattr(m.submodules, "wrpick_%s_%s" % (regfile, rpidx), wrpick)
540
541 wsigs = []
542 wens = []
543 wvsigs = []
544 wvens = []
545 addrs = []
546 for i, fspec in enumerate(fspecs):
547 # connect up the FU req/go signals and the reg-read to the FU
548 # these are arbitrated by Data.ok signals
549 (rf, wf, read, write, wid, fuspec) = fspec
550 for pi, (funame, fu, idx) in enumerate(fuspec):
551 pi += ppoffs[i]
552
553 # write-request comes from dest.ok
554 dest = fu.get_out(idx)
555 fu_dest_latch = fu.get_fu_out(idx) # latched output
556 name = "wrflag_%s_%s_%d" % (funame, regname, idx)
557 wrflag = Signal(name=name, reset_less=True)
558 comb += wrflag.eq(dest.ok & fu.busy_o)
559
560 # connect request-write to picker input, and output to go-wr
561 fu_active = fu_bitdict[funame]
562 pick = fu.wr.rel_o[idx] & fu_active # & wrflag
563 comb += wrpick.i[pi].eq(pick)
564 # create a single-pulse go write from the picker output
565 wr_pick = Signal(name="wpick_%s_%s_%d" % (funame, regname, idx))
566 comb += wr_pick.eq(wrpick.o[pi] & wrpick.en_o)
567 comb += fu.go_wr_i[idx].eq(rising_edge(m, wr_pick))
568
569 # connect the regspec write "reg select" number to this port
570 # only if one FU actually requests (and is granted) the port
571 # will the write-enable be activated
572 addr_en = Signal.like(write)
573 wp = Signal()
574 comb += wp.eq(wr_pick & wrpick.en_o)
575 comb += addr_en.eq(Mux(wp, write, 0))
576 if rfile.unary:
577 wens.append(addr_en)
578 else:
579 addrs.append(addr_en)
580 wens.append(wp)
581
582 # connect regfile port to input
583 print("reg connect widths",
584 regfile, regname, pi, funame,
585 dest.shape(), wport.i_data.shape())
586 wsigs.append(fu_dest_latch)
587
588 # now connect up the bitvector write hazard
589 if not self.make_hazard_vecs:
590 continue
591 print ("write vector", regfile, wvport)
592 wname = "wvaddr_en_%s_%s_%d" % (funame, regname, idx)
593 wvaddr_en = Signal(len(wvport.wen), name=wname)
594 if rfile.unary:
595 comb += wvaddr_en.eq(addr_en)
596 wvens.append(wvaddr_en)
597 else:
598 with m.If(wp):
599 comb += wvaddr_en.eq(1<<addr_en)
600 wvens.append(wvaddr_en)
601 #wvens.append(wp)
602
603 # here is where we create the Write Broadcast Bus. simple, eh?
604 comb += wport.i_data.eq(ortreereduce_sig(wsigs))
605 if rfile.unary:
606 # for unary-addressed
607 comb += wport.wen.eq(ortreereduce_sig(wens))
608 else:
609 # for binary-addressed
610 comb += wport.addr.eq(ortreereduce_sig(addrs))
611 comb += wport.wen.eq(ortreereduce_sig(wens))
612
613 # for write-vectors
614 comb += wvport.wen.eq(ortreereduce_sig(wvens))
615
616 def connect_wrports(self, m, fu_bitdict):
617 """connect write ports
618
619 orders the write regspecs into a dict-of-dicts, by regfile,
620 by regport name, then connects all FUs that want that regport
621 by way of a PriorityPicker.
622
623 note that the write-port wen, write-port data, and go_wr_i all need to
624 be on the exact same clock cycle. as there is a combinatorial loop bug
625 at the moment, these all use sync.
626 """
627 comb, sync = m.d.comb, m.d.sync
628 fus = self.fus.fus
629 regs = self.regs
630 # dictionary of lists of regfile write ports
631 byregfiles_wr, byregfiles_wrspec = self.get_byregfiles(False)
632
633 # same for write ports.
634 # BLECH! complex code-duplication! BLECH!
635 wrpickers = {}
636 for regfile, spec in byregfiles_wr.items():
637 fuspecs = byregfiles_wrspec[regfile]
638 wrpickers[regfile] = {}
639
640 if self.regreduce_en:
641 # argh, more port-merging
642 if regfile == 'INT':
643 fuspecs['o'] = [fuspecs.pop('o')]
644 fuspecs['o'].append(fuspecs.pop('o1'))
645 if regfile == 'FAST':
646 fuspecs['fast1'] = [fuspecs.pop('fast1')]
647 if 'fast2' in fuspecs:
648 fuspecs['fast1'].append(fuspecs.pop('fast2'))
649 if 'fast3' in fuspecs:
650 fuspecs['fast1'].append(fuspecs.pop('fast3'))
651
652 for (regname, fspec) in sort_fuspecs(fuspecs):
653 self.connect_wrport(m, fu_bitdict, wrpickers,
654 regfile, regname, fspec)
655
656 def get_byregfiles(self, readmode):
657
658 mode = "read" if readmode else "write"
659 regs = self.regs
660 fus = self.fus.fus
661 e = self.i.e # decoded instruction to execute
662
663 # dictionary of lists of regfile ports
664 byregfiles = {}
665 byregfiles_spec = {}
666 for (funame, fu) in fus.items():
667 print("%s ports for %s" % (mode, funame))
668 for idx in range(fu.n_src if readmode else fu.n_dst):
669 if readmode:
670 (regfile, regname, wid) = fu.get_in_spec(idx)
671 else:
672 (regfile, regname, wid) = fu.get_out_spec(idx)
673 print(" %d %s %s %s" % (idx, regfile, regname, str(wid)))
674 if readmode:
675 rdflag, read = regspec_decode_read(e, regfile, regname)
676 wrport, write = None, None
677 else:
678 rdflag, read = None, None
679 wrport, write = regspec_decode_write(e, regfile, regname)
680 if regfile not in byregfiles:
681 byregfiles[regfile] = {}
682 byregfiles_spec[regfile] = {}
683 if regname not in byregfiles_spec[regfile]:
684 byregfiles_spec[regfile][regname] = \
685 (rdflag, wrport, read, write, wid, [])
686 # here we start to create "lanes"
687 if idx not in byregfiles[regfile]:
688 byregfiles[regfile][idx] = []
689 fuspec = (funame, fu, idx)
690 byregfiles[regfile][idx].append(fuspec)
691 byregfiles_spec[regfile][regname][5].append(fuspec)
692
693 # ok just print that out, for convenience
694 for regfile, spec in byregfiles.items():
695 print("regfile %s ports:" % mode, regfile)
696 fuspecs = byregfiles_spec[regfile]
697 for regname, fspec in fuspecs.items():
698 [rdflag, wrflag, read, write, wid, fuspec] = fspec
699 print(" rf %s port %s lane: %s" % (mode, regfile, regname))
700 print(" %s" % regname, wid, read, write, rdflag, wrflag)
701 for (funame, fu, idx) in fuspec:
702 fusig = fu.src_i[idx] if readmode else fu.dest[idx]
703 print(" ", funame, fu.__class__.__name__, idx, fusig)
704 print()
705
706 return byregfiles, byregfiles_spec
707
708 def __iter__(self):
709 yield from self.fus.ports()
710 yield from self.i.e.ports()
711 yield from self.l0.ports()
712 # TODO: regs
713
714 def ports(self):
715 return list(self)
716
717
718 if __name__ == '__main__':
719 pspec = TestMemPspec(ldst_ifacetype='testpi',
720 imem_ifacetype='',
721 addr_wid=48,
722 mask_wid=8,
723 reg_wid=64)
724 dut = NonProductionCore(pspec)
725 vl = rtlil.convert(dut, ports=dut.ports())
726 with open("test_core.il", "w") as f:
727 f.write(vl)